-
Notifications
You must be signed in to change notification settings - Fork 6
Commit
This commit does not belong to any branch on this repository, and may belong to a fork outside of the repository.
Merge pull request #15 from Quarky93/xilinx_vck5000
Various fixes
- Loading branch information
Showing
14 changed files
with
5,412 additions
and
28 deletions.
There are no files selected for viewing
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,44 @@ | ||
set script_path [file dirname [file normalize [info script]]] | ||
|
||
create_project -part xcvc1902-vsvd1760-2MP-e-S synth synth | ||
set_property source_mgmt_mode All [current_project] | ||
|
||
# -- [READ FILES] ------------------------------------------------------------- | ||
import_files ${script_path}/shell.bd | ||
import_files ${script_path}/user.bd | ||
import_files "${script_path}/io.xdc" | ||
import_files "${script_path}/misc.xdc" | ||
import_files "${script_path}/floorplan.xdc" | ||
import_files "${script_path}/top.v" | ||
# ----------------------------------------------------------------------------- | ||
|
||
# -- [GENERATE BDS] ----------------------------------------------------------- | ||
set_property synth_checkpoint_mode Hierarchical [get_files shell.bd] | ||
set_property synth_checkpoint_mode Hierarchical [get_files user.bd] | ||
generate_target all [get_files shell.bd] | ||
generate_target all [get_files user.bd] | ||
# ----------------------------------------------------------------------------- | ||
|
||
# -- [COMPILE] ---------------------------------------------------------------- | ||
export_ip_user_files -of_objects [get_files shell.bd] -no_script -sync -force -quiet | ||
export_ip_user_files -of_objects [get_files user.bd] -no_script -sync -force -quiet | ||
create_ip_run [get_files -of_objects [get_fileset sources_1] shell.bd] | ||
create_ip_run [get_files -of_objects [get_fileset sources_1] user.bd] | ||
|
||
launch_runs synth_1 -jobs 16 | ||
wait_on_runs synth_1 | ||
|
||
open_run synth_1 | ||
write_checkpoint -force ./post_synth_xilinx_vck5000_xdma_gen4x8.dcp | ||
opt_design -directive Explore | ||
place_design -directive Auto_1 | ||
phys_opt_design -directive ExploreWithAggressiveHoldFix | ||
route_design -directive AggressiveExplore | ||
phys_opt_design -directive ExploreWithAggressiveHoldFix | ||
write_checkpoint ./post_route_xilinx_vck5000_xdma_gen4x8.dcp | ||
write_device_image -force ./warpshell_xilinx_vck5000_xdma_gen4x8.pdi | ||
update_design -cell user_partition -black_box | ||
lock_design -level routing | ||
write_checkpoint -force ./abstract_warpshell_xilinx_vck5000_xdma_gen4x8.dcp | ||
# write_cfgmem -force -format mcs -interface spix4 -size 128 -loadbit "up 0x01002000 warpshell_xilinx_vck5000_xdma_gen4x8.bit" -file "warpshell_xilinx_vck5000_xdma_gen4x8.mcs" | ||
# ----------------------------------------------------------------------------- |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,21 @@ | ||
set script_path [file dirname [file normalize [info script]]] | ||
|
||
create_project -in_memory -part xcvc1902-vsvd1760-2MP-e-S | ||
set_property source_mgmt_mode All [current_project] | ||
|
||
proc commit {} { | ||
validate_bd_design | ||
save_bd_design | ||
set bd [current_bd_design] | ||
puts "Writing to: $::script_path/${bd}.bd" | ||
file copy -force ./${bd}/${bd}.bd $::script_path/ | ||
} | ||
|
||
file mkdir ./shell/ | ||
file mkdir ./user/ | ||
file copy ${script_path}/shell.bd ./shell/shell.bd | ||
file copy ${script_path}/user.bd ./user/user.bd | ||
read_bd ./shell/shell.bd | ||
read_bd ./user/user.bd | ||
|
||
start_gui |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,4 @@ | ||
create_pblock user_partition | ||
add_cells_to_pblock user_partition [get_cells user_partition] | ||
resize_pblock [get_pblocks user_partition] -add {CLOCKREGION_X0Y4:CLOCKREGION_X9Y5 CLOCKREGION_X1Y3:CLOCKREGION_X9Y3 CLOCKREGION_X2Y1:CLOCKREGION_X9Y2} | ||
set_property HD.RECONFIGURABLE TRUE [get_cells user_partition] |
Oops, something went wrong.