Skip to content

Commit

Permalink
update submodule to add tb
Browse files Browse the repository at this point in the history
  • Loading branch information
jasonfan393 committed Jun 3, 2024
1 parent 6de8a94 commit 37effd9
Showing 1 changed file with 0 additions and 4 deletions.
4 changes: 0 additions & 4 deletions IntegrationTests/common/hdl/tf_merge_streamer.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -40,7 +40,6 @@ entity tf_merge_streamer is
enb_arr: out std_logic_vector(NUM_INPUTS-1 downto 0); -- output read enable
bx_out : out std_logic_vector(2 downto 0);
merged_dout : out std_logic_vector(RAM_WIDTH+NUM_EXTRA_BITS downto 0); --output stream of merged memories with a valid bit
we : out std_logic;
din0: in std_logic_vector(RAM_WIDTH-1 downto 0); --din/nent should be arrays but Vivado sim does not support unconstrained array
din1: in std_logic_vector(RAM_WIDTH-1 downto 0);
din2: in std_logic_vector(RAM_WIDTH-1 downto 0);
Expand Down Expand Up @@ -111,14 +110,11 @@ begin
if valid(3) ='1' then
if (NUM_EXTRA_BITS > 0) then
merged_dout <= '1' & std_logic_vector(to_unsigned(toread3,NUM_EXTRA_BITS)) & din_arr(toread3);
we <= '1';
else
merged_dout <= '1' & din_arr(toread2);
we<= '1';
end if ;
else
merged_dout <= (others => '0');
we <= '0';
end if;
bx_last := to_integer(unsigned(bx_in));
bx_out <= bx_in; -- FIXME do i need this?
Expand Down

0 comments on commit 37effd9

Please sign in to comment.