darwin-x64 #898
darwin-x64.yml
on: workflow_run
darwin-x64-python3
48s
darwin-x64-cadical
8s
darwin-x64-yosys
11s
darwin-x64-ghdl
2m 40s
darwin-x64-picosat
7s
darwin-x64-libpoly
6s
darwin-x64-graphviz
13s
darwin-x64-icestorm
9s
darwin-x64-prjtrellis
7s
darwin-x64-prjoxide
5s
darwin-x64-dfu-util
8s
darwin-x64-ecpprog
8s
darwin-x64-openfpgaloader
5s
darwin-x64-avy
6s
darwin-x64-cvc4
16s
darwin-x64-yices
11s
darwin-x64-z3
17s
darwin-x64-mcy
6s
darwin-x64-sby
10s
darwin-x64-sby-gui
11s
darwin-x64-gtkwave
5s
darwin-x64-verilator
12s
darwin-x64-iverilog
7s
darwin-x64-ecpdap
8s
darwin-x64-fujprog
11s
darwin-x64-iceprogduino
4s
darwin-x64-openocd
15s
darwin-x64-icesprog
14s
darwin-x64-utils
9s
darwin-x64-system-resources
9s
darwin-x64-xdot
12s
darwin-x64-nextpnr-generic
18s
darwin-x64-nextpnr-ice40
26s
darwin-x64-nextpnr-ecp5
20s
darwin-x64-nextpnr-machxo2
19m 37s
darwin-x64-nextpnr-nexus
10s
darwin-x64-nextpnr-gowin
11s
darwin-x64-flask
11s
darwin-x64-python-programmers
8s
darwin-x64-pyhdl
13s
darwin-x64-cocotb
19s
darwin-x64-default
5m 40s
Annotations
2 errors
darwin-x64-ghdl
Process completed with exit code 255.
|
darwin-x64-nextpnr-machxo2
Process completed with exit code 255.
|