darwin-x64 #893
This run and associated checks have been archived and are scheduled for deletion.
Learn more about checks retention
darwin-x64.yml
on: workflow_run
darwin-x64-python3
48s
darwin-x64-cadical
14s
darwin-x64-yosys
25m 47s
darwin-x64-ghdl
2m 14s
darwin-x64-picosat
8s
darwin-x64-libpoly
10s
darwin-x64-graphviz
20s
darwin-x64-icestorm
9s
darwin-x64-prjtrellis
7s
darwin-x64-prjoxide
7s
darwin-x64-dfu-util
9s
darwin-x64-ecpprog
8s
darwin-x64-openfpgaloader
5s
darwin-x64-avy
8s
darwin-x64-cvc4
21s
darwin-x64-yices
7s
darwin-x64-z3
14s
darwin-x64-mcy
5s
darwin-x64-sby
9s
darwin-x64-sby-gui
5s
darwin-x64-gtkwave
10s
darwin-x64-verilator
25m 25s
darwin-x64-iverilog
10s
darwin-x64-ecpdap
10s
darwin-x64-fujprog
8s
darwin-x64-iceprogduino
8s
darwin-x64-openocd
16s
darwin-x64-icesprog
11s
darwin-x64-utils
6s
darwin-x64-system-resources
8s
darwin-x64-xdot
7s
darwin-x64-nextpnr-generic
12s
darwin-x64-nextpnr-ice40
18s
darwin-x64-nextpnr-ecp5
24s
darwin-x64-nextpnr-machxo2
8m 42s
darwin-x64-nextpnr-nexus
14s
darwin-x64-nextpnr-gowin
12s
darwin-x64-flask
11s
darwin-x64-python-programmers
7s
darwin-x64-pyhdl
15s
darwin-x64-cocotb
13s
darwin-x64-default
4m 28s
Annotations
3 errors
darwin-x64-ghdl
Process completed with exit code 255.
|
darwin-x64-nextpnr-machxo2
Process completed with exit code 255.
|
darwin-x64-verilator
Process completed with exit code 255.
|