darwin-x64 #891
This run and associated checks have been archived and are scheduled for deletion.
Learn more about checks retention
darwin-x64.yml
on: workflow_run
darwin-x64-python3
36s
darwin-x64-cadical
5s
darwin-x64-yosys
27m 6s
darwin-x64-ghdl
2m 27s
darwin-x64-picosat
6s
darwin-x64-libpoly
5s
darwin-x64-graphviz
18s
darwin-x64-icestorm
10s
darwin-x64-prjtrellis
13s
darwin-x64-prjoxide
6s
darwin-x64-dfu-util
8s
darwin-x64-ecpprog
5s
darwin-x64-openfpgaloader
3m 48s
darwin-x64-avy
5s
darwin-x64-cvc4
14s
darwin-x64-yices
6s
darwin-x64-z3
16s
darwin-x64-mcy
5s
darwin-x64-sby
5s
darwin-x64-sby-gui
5s
darwin-x64-gtkwave
11s
darwin-x64-verilator
44m 54s
darwin-x64-iverilog
7s
darwin-x64-ecpdap
4s
darwin-x64-fujprog
9s
darwin-x64-iceprogduino
5s
darwin-x64-openocd
3m 9s
darwin-x64-icesprog
6s
darwin-x64-utils
6s
darwin-x64-system-resources
9s
darwin-x64-xdot
10s
darwin-x64-nextpnr-generic
11s
darwin-x64-nextpnr-ice40
18s
darwin-x64-nextpnr-ecp5
20s
darwin-x64-nextpnr-machxo2
10m 45s
darwin-x64-nextpnr-nexus
12s
darwin-x64-nextpnr-gowin
13s
darwin-x64-flask
7s
darwin-x64-python-programmers
14s
darwin-x64-pyhdl
8s
darwin-x64-cocotb
7s
darwin-x64-default
0s
Annotations
3 errors
darwin-x64-ghdl
Process completed with exit code 255.
|
darwin-x64-nextpnr-machxo2
Process completed with exit code 255.
|
darwin-x64-verilator
The hosted runner: GitHub Actions 3 lost communication with the server. Anything in your workflow that terminates the runner process, starves it for CPU/Memory, or blocks its network access can cause this error.
|