Skip to content

windows-x64

windows-x64 #1289

Workflow file for this run

name: windows-x64
on:
workflow_dispatch:
workflow_run:
workflows: [ linux-x64 ]
types:
- completed
jobs:
windows-x64-yosys:
runs-on: ubuntu-latest
continue-on-error: true
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-yosys
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-yosys.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Download linux-x64-abc
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-abc.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Build
run: ./builder.py build --arch=windows-x64 --target=yosys --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-yosys.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-yosys.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-python3:
runs-on: ubuntu-latest
continue-on-error: true
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-python3
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Download linux-x64-python3-native
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Build
run: ./builder.py build --arch=windows-x64 --target=python3 --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-python3.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-python3.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-formal:
runs-on: ubuntu-latest
continue-on-error: true
needs: windows-x64-python3
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-formal
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-formal.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Download linux-x64-python3-native
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-python3
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Build
run: ./builder.py build --arch=windows-x64 --target=formal --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-formal.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-formal.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-nextpnr-generic:
runs-on: ubuntu-latest
continue-on-error: true
needs: windows-x64-python3
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-nextpnr-generic
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-generic.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Download linux-x64-nextpnr-bba
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-python3
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Build
run: ./builder.py build --arch=windows-x64 --target=nextpnr-generic --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-nextpnr-generic.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-nextpnr-generic.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-nextpnr-ice40:
runs-on: ubuntu-latest
continue-on-error: true
needs: windows-x64-python3
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-nextpnr-ice40
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-ice40.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Download linux-x64-icestorm-bba
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-icestorm-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download linux-x64-nextpnr-bba
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-python3
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Build
run: ./builder.py build --arch=windows-x64 --target=nextpnr-ice40 --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-nextpnr-ice40.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-nextpnr-ice40.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-nextpnr-ecp5:
runs-on: ubuntu-latest
continue-on-error: true
needs: windows-x64-python3
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-nextpnr-ecp5
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-ecp5.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Download linux-x64-nextpnr-bba
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download linux-x64-prjtrellis-bba
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-python3
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Build
run: ./builder.py build --arch=windows-x64 --target=nextpnr-ecp5 --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-nextpnr-ecp5.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-nextpnr-ecp5.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-nextpnr-machxo2:
runs-on: ubuntu-latest
continue-on-error: true
needs: windows-x64-python3
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-nextpnr-machxo2
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-machxo2.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Download linux-x64-nextpnr-bba
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download linux-x64-prjtrellis-bba
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-python3
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Build
run: ./builder.py build --arch=windows-x64 --target=nextpnr-machxo2 --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-nextpnr-machxo2.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-nextpnr-machxo2.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-nextpnr-nexus:
runs-on: ubuntu-latest
continue-on-error: true
needs: windows-x64-python3
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-nextpnr-nexus
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-nexus.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Download linux-x64-nextpnr-bba
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download linux-x64-prjoxide-bba
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjoxide-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-python3
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Build
run: ./builder.py build --arch=windows-x64 --target=nextpnr-nexus --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-nextpnr-nexus.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-nextpnr-nexus.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-nextpnr-himbaechel:
runs-on: ubuntu-latest
continue-on-error: true
needs: windows-x64-python3
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-nextpnr-himbaechel
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-himbaechel.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Download linux-x64-nextpnr-bba
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download linux-x64-python3-native
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-python3
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Build
run: ./builder.py build --arch=windows-x64 --target=nextpnr-himbaechel --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-nextpnr-himbaechel.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-nextpnr-himbaechel.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-icestorm:
runs-on: ubuntu-latest
continue-on-error: true
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-icestorm
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-icestorm.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Build
run: ./builder.py build --arch=windows-x64 --target=icestorm --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-icestorm.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-icestorm.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-prjtrellis:
runs-on: ubuntu-latest
continue-on-error: true
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-prjtrellis
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-prjtrellis.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Build
run: ./builder.py build --arch=windows-x64 --target=prjtrellis --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-prjtrellis.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-prjtrellis.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-prjoxide:
runs-on: ubuntu-latest
continue-on-error: true
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-prjoxide
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-prjoxide.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Build
run: ./builder.py build --arch=windows-x64 --target=prjoxide --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-prjoxide.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-prjoxide.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-apicula:
runs-on: ubuntu-latest
continue-on-error: true
needs: windows-x64-python3
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-apicula
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-apicula.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Download linux-x64-python3-native
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-python3
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Build
run: ./builder.py build --arch=windows-x64 --target=apicula --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-apicula.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-apicula.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-openfpgaloader:
runs-on: ubuntu-latest
continue-on-error: true
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-openfpgaloader
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-openfpgaloader.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Build
run: ./builder.py build --arch=windows-x64 --target=openfpgaloader --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-openfpgaloader.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-openfpgaloader.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-avy:
runs-on: ubuntu-latest
continue-on-error: true
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-avy
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-avy.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Build
run: ./builder.py build --arch=windows-x64 --target=avy --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-avy.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-avy.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-lingeling:
runs-on: ubuntu-latest
continue-on-error: true
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-lingeling
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-lingeling.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Build
run: ./builder.py build --arch=windows-x64 --target=lingeling --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-lingeling.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-lingeling.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-cadical:
runs-on: ubuntu-latest
continue-on-error: true
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-cadical
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cadical.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Build
run: ./builder.py build --arch=windows-x64 --target=cadical --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-cadical.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-cadical.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-btor2tools:
runs-on: ubuntu-latest
continue-on-error: true
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-btor2tools
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-btor2tools.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Build
run: ./builder.py build --arch=windows-x64 --target=btor2tools --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-btor2tools.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-btor2tools.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-bitwuzla:
runs-on: ubuntu-latest
continue-on-error: true
needs: [ windows-x64-btor2tools, windows-x64-cadical, windows-x64-lingeling ]
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-bitwuzla
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-bitwuzla.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Download linux-x64-symfpu
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-symfpu.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-btor2tools
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-btor2tools.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-cadical
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-lingeling
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-lingeling.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Build
run: ./builder.py build --arch=windows-x64 --target=bitwuzla --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-bitwuzla.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-bitwuzla.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-boolector:
runs-on: ubuntu-latest
continue-on-error: true
needs: [ windows-x64-btor2tools, windows-x64-cadical, windows-x64-lingeling ]
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-boolector
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-boolector.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Download windows-x64-btor2tools
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-btor2tools.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-cadical
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-lingeling
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-lingeling.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Build
run: ./builder.py build --arch=windows-x64 --target=boolector --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-boolector.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-boolector.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-cvc4:
runs-on: ubuntu-latest
continue-on-error: true
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-cvc4
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cvc4.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Build
run: ./builder.py build --arch=windows-x64 --target=cvc4 --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-cvc4.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-cvc4.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-libpoly:
runs-on: ubuntu-latest
continue-on-error: true
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-libpoly
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-libpoly.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Build
run: ./builder.py build --arch=windows-x64 --target=libpoly --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-libpoly.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-libpoly.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-cvc5:
runs-on: ubuntu-latest
continue-on-error: true
needs: [ windows-x64-cadical, windows-x64-libpoly ]
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-cvc5
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cvc5.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Download linux-x64-symfpu
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-symfpu.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-cadical
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-libpoly
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-libpoly.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Build
run: ./builder.py build --arch=windows-x64 --target=cvc5 --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-cvc5.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-cvc5.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-yices:
runs-on: ubuntu-latest
continue-on-error: true
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-yices
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-yices.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Build
run: ./builder.py build --arch=windows-x64 --target=yices --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-yices.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-yices.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-smt-switch:
runs-on: ubuntu-latest
continue-on-error: true
needs: [ windows-x64-boolector, windows-x64-cvc5 ]
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-smt-switch
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-smt-switch.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Download windows-x64-boolector
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-cvc5
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Build
run: ./builder.py build --arch=windows-x64 --target=smt-switch --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-smt-switch.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-smt-switch.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-pono:
runs-on: ubuntu-latest
continue-on-error: true
needs: [ windows-x64-boolector, windows-x64-cvc5, windows-x64-smt-switch ]
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-pono
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-pono.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Download windows-x64-boolector
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-cvc5
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-smt-switch
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-smt-switch.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Build
run: ./builder.py build --arch=windows-x64 --target=pono --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-pono.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-pono.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-z3:
runs-on: ubuntu-latest
continue-on-error: true
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-z3
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-z3.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Build
run: ./builder.py build --arch=windows-x64 --target=z3 --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-z3.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-z3.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-eqy:
runs-on: ubuntu-latest
continue-on-error: true
needs: windows-x64-yosys
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-eqy
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-eqy.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Download windows-x64-yosys
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-yosys.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Build
run: ./builder.py build --arch=windows-x64 --target=eqy --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-eqy.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-eqy.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-gtkwave:
runs-on: ubuntu-latest
continue-on-error: true
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-gtkwave
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-gtkwave.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Build
run: ./builder.py build --arch=windows-x64 --target=gtkwave --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-gtkwave.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-gtkwave.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-verilator:
runs-on: ubuntu-latest
continue-on-error: true
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-verilator
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-verilator.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Build
run: ./builder.py build --arch=windows-x64 --target=verilator --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-verilator.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-verilator.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-iverilog:
runs-on: ubuntu-latest
continue-on-error: true
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-iverilog
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-iverilog.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Build
run: ./builder.py build --arch=windows-x64 --target=iverilog --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-iverilog.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-iverilog.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-utils:
runs-on: ubuntu-latest
continue-on-error: true
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-utils
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-utils.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Build
run: ./builder.py build --arch=windows-x64 --target=utils --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-utils.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-utils.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-pyhdl:
runs-on: ubuntu-latest
continue-on-error: true
needs: windows-x64-python3
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-pyhdl
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-pyhdl.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Download linux-x64-python3-native
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-python3
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Build
run: ./builder.py build --arch=windows-x64 --target=pyhdl --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-pyhdl.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-pyhdl.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-system-resources:
runs-on: ubuntu-latest
continue-on-error: true
steps:
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Cache sources
id: cache-sources
uses: actions/cache@v4
with:
path: _sources
key: cache-sources-system-resources
- name: Download previous build
run: |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-system-resources.tgz"
if wget --spider "${URL}" 2>/dev/null; then
wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
else
echo "Previous version not found in bucket"
fi
- name: Build
run: ./builder.py build --arch=windows-x64 --target=system-resources --single --tar
- uses: ncipollo/release-action@v1
if: hashFiles('windows-x64-system-resources.tgz') != ''
with:
allowUpdates: True
prerelease: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: bucket-windows-x64
artifacts: "windows-x64-system-resources.tgz"
token: ${{ secrets.GITHUB_TOKEN }}
windows-x64-default:
runs-on: ubuntu-latest
needs: [ windows-x64-apicula, windows-x64-avy, windows-x64-bitwuzla, windows-x64-boolector, windows-x64-cvc4, windows-x64-cvc5, windows-x64-eqy, windows-x64-formal, windows-x64-gtkwave, windows-x64-icestorm, windows-x64-iverilog, windows-x64-nextpnr-ecp5, windows-x64-nextpnr-generic, windows-x64-nextpnr-himbaechel, windows-x64-nextpnr-ice40, windows-x64-nextpnr-machxo2, windows-x64-nextpnr-nexus, windows-x64-openfpgaloader, windows-x64-pono, windows-x64-prjoxide, windows-x64-prjtrellis, windows-x64-pyhdl, windows-x64-python3, windows-x64-system-resources, windows-x64-utils, windows-x64-verilator, windows-x64-yices, windows-x64-yosys, windows-x64-z3 ]
steps:
- name: Get current date
id: date
run: echo "date=$(date +'%Y-%m-%d')" >> $GITHUB_OUTPUT
- uses: actions/checkout@v4
with:
repository: 'yosyshq/oss-cad-suite-build'
- name: Download linux-x64-nextpnr-himbaechel-gowin
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-himbaechel-gowin.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-apicula
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-apicula.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-avy
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-avy.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-bitwuzla
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-bitwuzla.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-boolector
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-cvc4
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cvc4.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-cvc5
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-eqy
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-eqy.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-formal
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-formal.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-gtkwave
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-gtkwave.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-icestorm
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-icestorm.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-iverilog
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-iverilog.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-nextpnr-ecp5
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-ecp5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-nextpnr-generic
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-generic.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-nextpnr-himbaechel
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-himbaechel.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-nextpnr-ice40
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-ice40.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-nextpnr-machxo2
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-machxo2.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-nextpnr-nexus
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-nexus.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-openfpgaloader
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-openfpgaloader.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-pono
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-pono.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-prjoxide
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-prjoxide.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-prjtrellis
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-prjtrellis.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-pyhdl
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-pyhdl.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-python3
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-system-resources
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-system-resources.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-utils
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-utils.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-verilator
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-verilator.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-yices
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-yices.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-yosys
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-yosys.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Download windows-x64-z3
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-z3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz -
- name: Build
run: ./builder.py build --arch=windows-x64 --target=default --single
- uses: ncipollo/release-action@v1
if: hashFiles('_outputs/windows-x64/default/*.exe') != ''
with:
allowUpdates: True
omitBody: True
omitBodyDuringUpdate: True
omitNameDuringUpdate: True
tag: ${{ steps.date.outputs.date }}
artifacts: "_outputs/windows-x64/default/*.exe"
token: ${{ secrets.GITHUB_TOKEN }}