forked from sveditor/sveditor
-
Notifications
You must be signed in to change notification settings - Fork 0
/
ChangeLog.txt
3797 lines (2462 loc) · 143 KB
/
ChangeLog.txt
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896
897
898
899
900
901
902
903
904
905
906
907
908
909
910
911
912
913
914
915
916
917
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
958
959
960
961
962
963
964
965
966
967
968
969
970
971
972
973
974
975
976
977
978
979
980
981
982
983
984
985
986
987
988
989
990
991
992
993
994
995
996
997
998
999
1000
------------------------------------------------------------------------------
-- 2.1.6 Release --
- #(nobug) - Recognize (and ignore) the `uselib directive
------------------------------------------------------------------------------
-- 2.1.5 Release --
- #(enh - 138) - Match file paths in the console with a format "path", line
------------------------------------------------------------------------------
-- 2.1.4 Release --
- #(520) - Correct issue wih coverpoint 'X with' expression
- #(nobug) - Correct a conflict between the string and single-line comment
highlighters that caused a comment embedded in a string to not be
displayed correctly
------------------------------------------------------------------------------
-- 2.1.3 Release --
- #(nobug) - Correct a Linux-specific issue with support for external files.
- #(519) Preferences bug with "Save Actions"
- #(518) Macro Content Assist not formatting code
------------------------------------------------------------------------------
-- 2.1.2 Release --
- #(519) Preferences bug with "Save Actions"
- #(enh) - Automatically link files referenced by the filelist into the
project. This allows the "open resource" operation and file
search to see external files. There's more work to be done here,
but initial functionality is in place.
- #(520) Parse error when soft constraints are used in an inline constraint
------------------------------------------------------------------------------
-- 2.1.1 Release --
- #(517) - Correct an issue with the new error-recovery code when the
number of errors exceeds 100 (the preset limit)
------------------------------------------------------------------------------
-- 2.1.0 Release --
- #(nobug) - Correct parse bug with interface class multiple extension
- #(nobug) - Ensure built-in classes with __sv_builtin prefix are not
provided as content-assist proposals
- #(nobug) - Improve parsing error recovery to resume parsing the next
file. This improves error recovery when parsing a package that
sub-includes several files.
------------------------------------------------------------------------------
-- 2.0.9 Release -- ??
------------------------------------------------------------------------------
-- 2.0.8 Release --
- #(enh) - Add support for built-in 'semaphore' class
- #(enh) - Add support for built-in 'mailbox' class
- #(506) Copying multiple lines
- #(514) Indenter: Issues moving lines through multi-line comments
- #(515) Colorizer: Preference to "Treat all files as system verilog" ignored
------------------------------------------------------------------------------
-- 2.0.7 Release --
- #(nobug) - Restored content-assist for built-in types (queues, arrays, process, etc)
- #(enh) - Connect the 'Rebuild SV Index' operation to the new build console
------------------------------------------------------------------------------
-- 2.0.6 Release --
- #(enh) - Enhance the editor performance when used with large files. This was
done by changing how annotations (eg error markers, folding regions) are
added and updated
- #(enh) - Extend SystemVerilog build console support to incremental builds
and project initialization
------------------------------------------------------------------------------
-- 2.0.5 Release --
- #(enh) - Add a SystemVerilog build console that shows the index process
- #(504) - Wrong indentation using DPI keywords
------------------------------------------------------------------------------
-- 2.0.4 Release --
- #(509) - Correct incorrect indentation of a labeled covergroup
- #(508) - Correct incorrect parsing of implication within an expression
- #(507) - Correct issue parsing 'unique' constraint inside with block
------------------------------------------------------------------------------
-- 2.0.3 Release --
- #(503) Incorrect indent of `elsif
- #(504) SVEditor (including Eclipse) crashes after character insertion
------------------------------------------------------------------------------
-- 2.0.2 Release --
- #(502) - Format /beautifier of new feature matching begin/end code is not working with some cases, besides it is broken in 2.0.1
- #(489) - A few more fixes to (hopefully) prevent this bug.
------------------------------------------------------------------------------
-- 2.0.1 Release --
- #(enh) - Reorganize structure of package hierarchy view
- #(497) - Editor scrolls to start of file when saving with "Remove trailing whitespace" enabled
- #(129) - Improvement Request: Highlight matching brace on begin / end
- #(489) - Correct object-type ID issue for new 'unique constraint' object
- #(499) - Format /beautifier of special code in systemverilog is wrong (interface class)
- #(500) - Add support for interface class and 'implements' clause of class declaration
- #(enh - 124) - Update build-script launcher to support termination.
- #(498) - Outline view is now properly shown for files that are not part of the index
------------------------------------------------------------------------------
-- 2.0.0.1 Release --
- #(496) - Move back to building SVEditor Java7 (vs Java8)
------------------------------------------------------------------------------
-- 2.0.0.2 Release --
- #(489) Correct object-type ID issue for new 'unique constraint' object
------------------------------------------------------------------------------
-- 2.0.0 Release --
- #(enh) - Add Classes, Modules, and Interfaces sub-sections to project explorer
- #(enh) - Add Classes node to the Objects view
- #(487) Indent of forever body is incorrect
- #(486) Goto Next / Previous Member not behaving as expected
- #(485) Indent when adding Ndocs using Alt+Shift+J incorrect
- #(ehh - 20) Auto-indent: <TAB> at line begin should set correct indent
- #(491) Outline: Tracking of cursor has issues when code is folded
- #(492) Support change of matching bracket color in SVeditor - eclipse
- #(494) Parser: Optional second parameter for timeunit
- #(493) Editor: Find Next / Previous element doesn't behave when code folding is active
- #(126) Feature request: remove trailing whitespace on save (Window>Preferences>SVEditor>SaveActions)
- #(enh) Feature request: Format file on save (Window>Preferences>SVEditor>SaveActions)
- #(nobug) - Support class extension from a parameterized class with
'#' omitted. For example: class ext extends base (1,2,3);
- #(enh) - Add support for exporting Custom Code Templates with preferences
------------------------------------------------------------------------------
-- 1.9.9 Release --
- #(enh) - Show package structure in the Type Hierarchy view (F4)
- #(enh) - Clean up Project Paths virtual folder. The folder is now named 'SV Contents'
- #(enh) - Add "Packages" entry to 'Project Paths' virtual folder
- #(enh) - Enable double-click navigation to packages
from 'Packages' list shown in the navigator
- #(480) Indent of default clocking block body is incorrect
- #(479) Unique is not supported by SVE
- #(483) Indenter: constraint Unique statement not indented correctly
- #(484) - Correct handling of multi-line strings as macro parameters
------------------------------------------------------------------------------
-- 1.9.8 Release --
- #(nobug) Constraint indenter updates
- #(408) Code template issue
- #(470) bins usage
- #(332) Text is duplicated on copy&paste
- #(474) Indenter: Add randsequence support
- #(nobug) - Correct a parse error involving inside in a primary position
- #(nobug) - Correct pre-processor error involving a blank terminal parameter
`MY_MACRO(P1,)
- #(nobug) - Correct pre-processor error involving double quotes in
`protected region
- #(nobug) - Correct a parse bug involving map-initialization expression with
a concat at the key
------------------------------------------------------------------------------
-- 1.9.7 Release --
- #(nobug) - Update Project Explorer decorator to recognize when files in a
linked folder are being indexed via an absolute path (eg ${PROJECT_LOC}/../../folder/...)
- #() - Remove Global Defines tab from project properties, since it duplicates
functionality provided by the filelist
- #(472) - Correct incorrect expansion of `" macro pattern
- #(471) weird copy paste problem
------------------------------------------------------------------------------
-- 1.9.6 Release --
- #(nobug) - Correct a parse bug with import statements in initial blocks
- #(nobug) - Correct an issue with the filelist creator when files are
included via relative paths (eg `include "src/cls.svh")
- #(nobug) - Correct an issue with creation of relative paths in a
new filelist, when the New Filelist wizard is launched from the
New SVE Project wizard
- #(enh) - Add checkbox to filter discovered filelists from
filelists created by the New Filelist wizard
------------------------------------------------------------------------------
-- 1.9.5 Release --
- #(nobug) - Correctly group 'Macro Expansion' view with SVEditor Views
- #(nobug) - Add 'New Filelist' to main SystemVerilog perspective menu
- #466 Auto Block commenting closing hangs or it is too slow with big SV files
- #467 Find matching brace covergroup/endgroup pair not working
- #195 - Correct a parse error with localparam declared inside a module parameter list
------------------------------------------------------------------------------
-- 1.9.4 Release --
- #457 - Correct a parse error with "and" event operator
- #122 (enh) - Support comment toggle (CTRL+/) in argument files
- #(nobug) - Corrected a few issues with the macro expansion view.
- Removed extraneous `line directives
- Aligned folding regions with text
- #(enh) - Add net.sf.sveditor.create_project application for creating
an SVE project from the commandline
------------------------------------------------------------------------------
-- 1.9.3 Release --
- #455 Parser Error: Property containing bus concatenation not recognized
- #458 Outline View: cover property not displaying correctly
- #459 Parser: property variable assignment separated by ,
- #462 Indenter: Expect block not property indented
- #461 Parser: expect ## not expected
- #463 Parser: support defparam on arrayed paths
- #456 Parser: support 'var' qualifier on module ports
------------------------------------------------------------------------------
-- 1.9.2 Release --
- #(nobug) - Migrate update site off of Sourceforge due to reliability issues
------------------------------------------------------------------------------
-- 1.9.1 Release --
- #(nobug) - Improvements to incremental indexing
- #(nobug) - Correct an issue with line numbers and Windows-style line endings
------------------------------------------------------------------------------
-- 1.9.0 Release --
- #392 Wrong indent in case of unrecognized macro
- #445 Move lines "capturing extra lines"
- Feature request: #(114) Implement "Go to Previous/Next Member" command in SV
- Feature request: #117 Add "Create Javadoc/Natural DOC" keybinding - Alt+Shift+J
- Feature request: Added ability to add Natural Docs Comments on multiple files
from the Project Explorer (Select file(s)>Right-Click>Source>Add Natural Docs
- #446 Line number not captured in for generate loop
- #448 Outline View: Modports not displaying correctly / cryptically
- #449 Outline View: No icon for final blocks
- #447 Indenter: Clocking blocks not indented
- #(nobug) - Correct an issue with the "Add Project Path" dialog on the
SystemVerilog Project Properties page.
- #451 Outline View: No icon for program blocks
- Feature Request: Added ability to run the indenter on multiple files from
the Project Explorer (Select file(s)>Right-Click>Source>Format)
- #453 Parser error: Unconnected ports being flagged as an error
------------------------------------------------------------------------------
-- 1.8.9 Release --
- #(enh - 116) - Add indenter support for move lines up/down action (ALT+UP/ALT+DOWN).
Now, the lines being moved are properly indented based on the new containing scope.
- #(431) - Correct a parse error with task/function scoped end-block identifiers
- #(437) Indenter: Comment following inline typedef mis-indented
- #(438) Paste error when pasting at the start of a line, trailing code duplicated
- #(439) Indenter doesn't take care of leading whitespace on paste
- #(441) Find matching brace issue with nested begin/ends
- #(442) SV format is not correct using ifdef after assert sv keyword
- #(443) - Correct an issue parsing a sized cast ( (WIDTH)'(expr) )
------------------------------------------------------------------------------
-- 1.8.8 Release --
- #(414) - Correct issues with using pre-processor macro with `include.
Macro expansion is now properly performed
- #(318) - Correct spurious pre-processor error when a file doesn't
end with a newline.
- #(434) - Find matching brace /? select enclosing element doesn't pick up
fork /? join statements
- #(435) - Indenter issue with "unique case()"
- #(enh) - Add a basic Macro Expansion Viewer to assist in debugging
macro-expansion issues
- #(433) - Correct an issue with expanding macros that have macro
parameter references inside strings.
------------------------------------------------------------------------------
-- 1.8.7 Release --
- #(nobug) FIXME/TODO markers now placed on the correct line, previously placed
on the first line of the enclosing comment
- #(427) Parsing file tree in File list wizard takes long
- #(428) SVE shows syntax error for correct code
------------------------------------------------------------------------------
-- 1.8.7 Release --
- #(nobug) - Correct a recursive macro reference issue
- #(nobug) - Remove the obsolete 'Hover Uses Browser' preference.
------------------------------------------------------------------------------
-- 1.8.6 Release --
- #(425) - Correct an issue with the plugin-library indexer. Resolved a NPE
issue during indexing
- #(426) Task markers (TODO/FIXME) not detected in multi-line comment
------------------------------------------------------------------------------
-- 1.8.5 Release --
- #(SR - 21) - Scope Hierarchy view to the SystemVerilog perspective. It no
longer appears in all perspectives.
- #(enh) - Add support for SV 2012 'interface class'
- #(nobug) - Allow variables to be used in run / debug configurations
- #(307) - Natural Doc Parser - Constraint Icon not showing
- #(nobug) - Cleaned up titles of some dialogs in wizards. Added Keyboard shortcuts to buttons of same.
- #(nobug) - Fixed exception created when attempting to write xml - "indent-number" not recognized
- #(422) - Correct an issue with absolute-path include specifications
------------------------------------------------------------------------------
-- 1.8.4 Release --
- #(416) first_match as first item in property failing to parse
- #(411) Source formatting issue in SVEditor 1.8.3
- #(nobug) - Correct an issue with the New Filelist wizard, when invoked
on a resource within an Eclipse CDT project
- #(nobug) Tutorial updated to use "SVE New Project Wizard" for project creation
- #(enh) - Change editor to use different keyword set based on the
file type. For example, a SystemVerilog file will highlight the 'int'
keyword, while a Verilog file will not.
- #(420) - Correct a bug involving processing of a macro call with
a multi-line string as a parameter
- #(421) - Correct an issue that occurs when a file is modified
and saved before the re-parse thread runs. Now, the re-parse thread
checks whether the editor is still open before proceeding to re-parse
- #(nobug) - Forum discussion reported an issue with typed wires. Typed
wires (with primitive data types) are now supported.
- #(299) "Select Enclosing Element" shortcut does not work [minor]
- #(421) Eclipse Preference not importing correctly from preference file
- #(422) Absolute path for `include file not recognized - added testcase
- #(nobug) - Minor tweaks to "Natural Docs Generation Wizard"
------------------------------------------------------------------------------
-- 1.8.3 Release --
- #(407) Code Completion - Code completion returns previous result if no hit is found
- #(406) Indenter: always with bussed operation invalid indent
- #(nobug) - Correct an issue with the New SVE Project wizard, existing
project directories, and the 'Add Project Path...' route to adding
an existing filelist. The button now functions as expected.
- #(SR - 17) - Correct issue with displaying the labels in the outline
view for labeled blocks
- #(SR - 16) - Correct Open Declaration for class parameters
- #(323) - Correct Open Declaration issue when the instance name of a
module/interface instance is the same as the typename
- #(409) - Correct Open Declaration and Hover issue when the selected
element begins with a macro. Now, the macro is correctly expanded
and the complete target is opened.
- #(308) - Correct an issue with Open Declaration navigation and
Eclipse's navigation history. Sometimes using Open Declaration for
a location in the same file as the source resulted in the Eclipse
'Back' button not working properly.
------------------------------------------------------------------------------
-- 1.8.2 Release --
- #(enh) - Add New SVE Project wizard that assists in setup of new SVE
projects, including specifying and creating the filelist.
- #(nobug) - Correct handling of module ports with a direction, but no
explicit type. These are now interpreted as single-bit ports.
------------------------------------------------------------------------------
-- 1.8.1 Release --
- #(403) Indenter: Indenter error for class containing virtual interface
- #(402) Arg File Parser error - PROJECT_LOC case sensitive
- #(enh - 111) - Allow an argument file to be registered with the project
from the project-explorer context menu
- #(402) Arg File Parser error - PROJECT_LOC case sensitive
------------------------------------------------------------------------------
-- 1.8.0 Release --
- #(395) - Indenter: forever followed by #delay not indented correctly
- #(397) - Add support for 'alias' statement
- #(398) - Correct a parse bug with realtime local variables
- #(396) - Correct a bug when performing Open Declaration from a nested
behavioral statement.
- #(401) - Indenter: #xxx for (...) begin ... end not indented properly
------------------------------------------------------------------------------
-- 1.7.9 Release --
- #(394) Indenter: Named fork / join not indenting properly
- #(393) - Added support for default clocking that references a previously-defined
clocking block.
- #(enh) - Add hyperlink support for files outside the workspace to the
'Build Script Launch' console.
- #(enh) - Add hyperlink support for line numbers that directly follow the path.
For example: /home/foobar/file.svh 27
- #(enh) - Major restructuring of the lexer and preprocessor that will, in
some cases, boost performance and reduce memory footprint.
------------------------------------------------------------------------------
-- 1.7.8 Release --
- #(390) - Corrected a NPE when parsing properties. Added more debug info as well.
- #(391) Indenter issue with single line `ifdef
------------------------------------------------------------------------------
-- 1.7.7 Release --
- #(380) Parser Error: cover property begin / end
- #(379) Parser Error: Hierarchy / function call in property not being parsed correctly
- #(381) Parser error: () in array range not parsed properly in properties
- #(378) Indenter error: Property if / else not correct
- #(382) Parse error: sequence ?:;
- #(383) Parser error: Property Cycle delay (##) with an expression not parsed
- #(387) Parser error: Constraint error: Unknown suffix for expression }
- #(enh) - Modify project-import behavior when 'Project->Build Automatically"
is disabled. Previously, SVE would perform an initial build of the project.
Now, the initial build is not performed.
- #(enh) - Update preferences for Editor Auto-Index. Now, there is an
'Enable' preference button. When auto-index is disabled, the editor
content is parsed only when the file is saved. This can improve the
user experience with large files.
- #(384) - Correct a parse issue with a property clocking_event after
a sequence_expr.
- #(388) - Assertion name not being captured / displayed in outline view
- #(386) - Corrected a parse error involving a clocking_event property_expr
statement.
- #(375) - Add indenter support for labeled statements. A label is considered
to not increase the indent for follow-on statements
------------------------------------------------------------------------------
-- 1.7.6 Release --
- #(nobug) - Correct an issue with Open Declaration for included files.
- #(354) - Update New Class/Interface/Module/Package wizards to use the
file_header/file_footer settings from the SV Template Properties page
- #(354a) - Correct an issue with multi-line template parameters on Windows.
The '\r' character in line-endings introduced extraneous whitespace.
- #(371) Bracket matching doesn't move cursor if off screen
- Fixed this item
- Also fixed lockup if your cursor was to the right of the close )
- #(enh - 105) Bracket matching - selection of text within brackets
- Implemented... easy enough to take out if not requested
- #(enh - 79) Add shortcut-key support for collapsing/expanding folding
regions. CTRL+NUMPAD_MINUS (default) collapses a folding region, while
CTRL+NUMPAD_PLUS expands a folding region.
#(374) Specify parsing +=>
- Added support for other -=>, +=>, -*>, +*>
#(375) Indenter not properly handling assert property directives
This has been improved. Still choking on a label before assert property
as in "ap_label: assert property (..."
------------------------------------------------------------------------------
-- 1.7.5 Release --
- #(369) - Indenter not properly handling `ifdef/`endif
- #(enh) - Enhance script-launcher console to identify and add insert
hyperlinks for file paths.
- #(nobug) - Fixed issue with GotoMatchingBracket where "end" was searching forwards instead of backwards.
- Also updated so that if your cursor is to the right of a closing brace (or end) the command will still
work, allowing us to toggle between the two points without moving the cursor
- #(368) - Cursor position after fixing indentation / formatting of file will now be maintained on the current line
- #(103) - Correct an issue when using the Eclipse 'History' view to view an old revision of a file.
The EGit implementation provided misleading filename information to Eclipse, which resulted in
the document being improperly configured.
- #(57) Content Assist Doesn't Show SystemVerilog Keyword
- Added module, package and class.
------------------------------------------------------------------------------
-- 1.7.4 Release --
- #(367) - Correct an indenter bug with 'specify' blocks that caused the
indenter to hang
- #(enh) - Recognize 'TODO' and 'FIXME' task tags (no colon)
- #(enh) - Propagate Debug/Run Console preferences to SVE console. This allows
the user to control font colors, as well as limit the amount of text saved
the console
- #(366) - Implemented console-size limits to address heap-overflow issue
------------------------------------------------------------------------------
-- 1.7.3 Release --
- #(enh - 100) - Add log-parsing support for NCSim-produced messages
- #(enh - 99) - Add support for 'TODO:' and 'FIXME:' task tags in comments
- #(enh - 97) - Enhance 'New Module' wizard to explicitly support creation
of a 'Verilog' module (ie one whose file has a .v extension)
- #(enh - 87) - Display block label in outline view
------------------------------------------------------------------------------
-- 1.7.2 Release --
- #(nobug) - Correct a bug in the SystemVerilog Template wizard. Now the
destination folder is properly-populated when the selection is a CDT
project folder
- #(363) - Correct a parse bug involving a DPI import function with
an escaped C-identifier.
- #(364) - Correct a parse bug in an assertion goto-repetition expression
- #(361) - Correct a parse bug involving array references in a specify path
- #(362) - Correct indent behavior around specify blocks
------------------------------------------------------------------------------
-- 1.7.1 Release --
- #(enh) - Add support for matching-brace navigation. Positioning the cursor
on a bracket ( (), {}, [] ) or begin/end keyword and selecting
CTRL+SHIFT+P moves the cursor to the matching bracket.
- #(359) - Correct a parse bug with sized, based, signed literals.
Example: 8'sd128
- #(360) - Correct a parse bug with specifying clocking events to the $past task
- #(enh) - Enhance the Questa log parser to support multi-line messages.
Multi-line messages typically result when an error occurs in a file that is
included by another file.
- #(358) - Correct a property-parse bug involving parenthesized property expressions
------------------------------------------------------------------------------
-- 1.7.0 Release --
- #(nobug) - Correct an integration bug that could cause the new-XXX wizards,
such as the New SV Class wizard to not properly populate the destination
directory field from the current selection. This occurred when the destination
folder was managed by the C/C++ Development Tools plug-ins.
- #(enh) - Enhance the script launcher dialog to separately accept script
and script arguments
- #(nobug) - Correct a message-parsing issue with the Questa message parser.
Now, messages marked as 'suppressible' are recognized
- #(enh) - Add message parsers for Verilator and GCC errors and warnings
------------------------------------------------------------------------------
-- 1.6.9 Release --
- #(enh) - Add a New Filelist wizard that supports creating a new argument
file -- either completely blank or pre-populated with existing source paths
------------------------------------------------------------------------------
-- 1.6.8 Release --
- #(347) - Outline View: defparams not behaving correctly
- #(322) Unhandled Exception: Unhandled expr in expr_to_string: NameMappedExp
Didn't fix this one, but hid the exception. Outline view won't look
complete, but basic data is there incase it is needed
TODO still in code, item not marked as fixed in bug tracker
- #(nobug) - Enhance debug logging for declaration-lookup failures
- #(enh) - Add NaturalDoc support for the Macro keyword. This allows macros
to be documented
- #(enh - 96) - Update the hover popup to show multiple pieces of information
about the element that the mouse is over. Now, hover will show documentation
(if present), declaration information, and allow the user to see the
expansion of macros
- #(351) - Correct a parse error involving an initialization expression for
a multi-dimensional associative array.
- #(322) - Remove a misleading error message that occurs due to unhandled
expression elements in expression-to-string conversion
------------------------------------------------------------------------------
-- 1.6.7 Release --
- #(enh - 95) - Add support for opening the declaration of an element by
double-clicking in the Outline view
- #(352) - Correct incorrect indentation after a randomize with {} block
- #(353) - Correct a bug when performing the Open Declaration operation on a
module port. Location information was not being applied to module ports
- #(355) - Correct attribute-parse bug when attributes are applied to module ports
------------------------------------------------------------------------------
-- 1.6.6 Release --
- #(enh - 94) - Support reordering templates and files within the template editor
- #(enh - 89) - Add support for folding block comments
- #(344) - Correct property parse bug
- #(340) - Correct property parse bug
------------------------------------------------------------------------------
-- 1.6.5 Release --
- #(349) - Trial bug-fix for interoperability issue with revision-control plugins
------------------------------------------------------------------------------
-- 1.6.4 Release --
- #(343) - Correct an issue where statements with an always_comb were being
displayed in the outline view.
- #(345) - Correct parse bug involving path-dependent specify-block paths
- #(346) - Correct parse bug involving path-dependent specify-block paths
- #(enh - 92) - Implement linking between editor and outline view.
------------------------------------------------------------------------------
-- 1.6.3 Release --
- #(337) - Update the SV Template Descriptor editor to properly work with
read-only files and revision-control plug-ins. Now, if a file is read-only,
the user will be prompted.
- #(339) - Correct a constraint-block parse error.
- #(342) - Correct a parse error involving binding to an arrayed module instance
- #(338) - Correct a parse error involving array-element references in a property
------------------------------------------------------------------------------
-- 1.6.2 Release --
- #(nobug) - Correct a bug in recursive-inclusion handling
- #(nobug) - Correct a spurious exception thrown when checking an invalid
include path
- #(336) - Correct a parse bug involving cycle delay (eg ##1)
- #(enh - 93) - Add support for auto-folding tasks and functions
------------------------------------------------------------------------------
-- 1.6.1 Release --
- #(nobug) Fixed always_latch default instantiation - added clk
- #(nobug) RemoveBlockComment (Ctrl+Shift+\) no longer needs to have
anything selected to operate. As long as the cursor is in a block comment
the comment will be removed.
- #(333) - Correct a bug involving auto-comment completion and files with
mixed line endings.
- #(334) - Correct parse error involving transition-bin patterns
- #(335) - Update content assist to use the same information provider that
the 'hover' in the editor uses. This supports more HTML markup (such as
fixed-size fonts) than does the Eclipse default.
-- 1.6.0 Release --
- #(enh 31) - Allow projects to specify template paths via the project
properties dialog.
- #(enh 329) - Add initial implementation of content assist for class-type
parameters in the template-instantiation wizard.
-- 1.5.9 Release --
- #(330) - Correct naming on 'New Package' wizard. The wizard was previously
titled 'New Interface' due to a cut/paste error
- #(nobug) - Change implementation of CTRL+/ for VHDL editor to be
'Toggle Comment' rather than just 'Add Comment'
- #(329) - Interim solution to this bug. Class-type template parameters
are now treated as string-type parameters and can be edited
- #(331) - Correct select-next-word behavior when using this action to
reduce the size of an existing selection
------------------------------------------------------------------------------
-- 1.5.8 Release --
- #(327) Indenter issue with assert statements
- #(enh) Add beginnings of basic VHDL editing support
- Keyword, comment, and string coloring
- Block comment/un-comment (CTRL+/ ; CTRL+\)
- Matching-brace highlighting
------------------------------------------------------------------------------
-- 1.5.7 Release --
- #(325) - Correct handling of escaped identifiers
- #(321) - Corrected indentation of generate blocks
- #(326) - Comments after assign statements incorrectly indented
- #(nobug) - Sorting out open & close brace indent on assign and other similar statements
- #(nobug) - Correct a NPE in the StyledText label provider with assign statements
------------------------------------------------------------------------------
-- 1.5.6 Release --
- #(nobug) - Correct a parse bug involving binsof expressions with
bin indexes
- #(nobug) - Correct a bug involving relative include directives:
`include "../../foo.svh"
------------------------------------------------------------------------------
-- 1.5.5 Release --
- #(enh) - Add initial support for finding class extension references
- #(enh) - Enhance hierarchy view to show the classes that extend the
subject class
------------------------------------------------------------------------------
-- 1.5.4 Release --
- #(nobug) - Correct an issue with the recently-added checker for unbalanced
pre-processor directives. This could cause expansion of a macro within an
enabled region to cause part of the pre-processor stack to incorrectly be cleared
- #(nobug) - Correct a parse bug involving hierarchical foreach loop variables
- #(nobug) - Correct an issue with locating macros defined in previous files
when live-parsing a file that was processed in MFCU mode
------------------------------------------------------------------------------
-- 1.5.3 Release --
- #(nobug) - Correct a parse bug involving a function that returns a
virtual interface handle
- #(316) - Correct a bug involving unbalanced pre-processor directives
- #(enh) - Add checking for unbalanced pre-processor directives. Error
markers are now added when unbalanced directives are seen.
- #(nobug) - Correct a parse bug involving an assert statement with a
block body statement.
- #(nobug) - Correct a parse bug involving a cast expression in a
parameter assignment
- #(nobug) - Correct a parse bug that prevented covergroup declaration
in a package scope
- #(nobug) - Correct a pre-processor bug that in some cases led to
incorrect characters being included with a macro reference
- #(nobug) - Correct a parse bug that could cause variable declarations
of vectored user-defined types in behavioral scopes to be flagged as errors
- #(317) - Correct a parse conflict between a constraint set and a concat.
------------------------------------------------------------------------------
-- 1.5.2 Release --
- #(315) - Correct an issue with the task/function override-annotation
finding code. In some cases, virtual methods from classes declared in
included files would be marked in the active source file.
- #(nobug) - Add compilation-argument import support for -mfcu option
- #(enh) - During compilation-argument import, add support for executing
the commands being intercepted by the compiler wrappers. Sometimes a
compilation failure causes the entire process to terminate early.
------------------------------------------------------------------------------
-- 1.5.1 Release --
- #(309) - Correct a NPE in the label provider when an unlabeled generate
statement is inferred from a begin block within a generate block
- #(enh) - Add defparam statements to the Outline view
- #(enh) - Add content assist support for parameters declared on the
containing module
- #(enh) - Align content assist support for interface instantiation with
the support already present for module instantiation
- #(fr 86) - Enhance handling of undefined macros to reduce the number of
follow-on parse errors.
- #(312) - Correct a bug involving missing include files due to
incompletely-specified include directories. Previously, editors would
still show the include files as missing even after correcting the include
directories. Now, the editors show correct information.
- #(314) - Allow module declarations without a terminating ';'. This appears
to occur when the module has a protected-content body.
------------------------------------------------------------------------------
-- 1.5.0 Release --
- #(enh) - Change content-assist label provider to display the type name of
built-in net proposals (eg wire[14:0])
- #(enh 80) - Add support for code completion of hierarchical references
that use macros. For example: `TOP.sub.signal
- #(306) - Corrected a bug involving auto-indent of code templates when
preference settings cause spaces rather than tabs to be inserted.
- #(sr 10) - Add support for multiple content-assist contexts, which allows
code templates to be selected based on how the content begins as well as
the name associated with the code template.
- #(enh 68) - Added default code templates for some commonly used structures: