forked from icoulter/project_tubii_7020
-
Notifications
You must be signed in to change notification settings - Fork 0
/
vivado.jou
61 lines (61 loc) · 4.79 KB
/
vivado.jou
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
#-----------------------------------------------------------
# Vivado v2013.4 (64-bit)
# SW Build 353583 on Mon Dec 9 17:49:19 MST 2013
# IP Build 208076 on Mon Dec 2 12:38:17 MST 2013
# Start of session at: Fri Apr 21 16:33:08 2017
# Process ID: 7004
# Log file: C:/Users/Ian/Documents/GitHub/project_tubii_7020/vivado.log
# Journal file: C:/Users/Ian/Documents/GitHub/project_tubii_7020\vivado.jou
#-----------------------------------------------------------
start_gui
open_project {C:\Users\Ian\Documents\GitHub\project_tubii_7020\project_tubii_7020.xpr}
open_bd_design {C:/Users/Ian/Documents/GitHub/project_tubii_7020/project_tubii_7020.srcs/sources_1/bd/system/system.bd}
disconnect_bd_net /testPulser_0_pulser_out2 [get_bd_pins xlconcat_0/In2]
connect_bd_net -net [get_bd_nets prescaleTrigger_0_s00_axi_trigout] [get_bd_pins xlconcat_0/In2] [get_bd_pins util_vector_logic_6/Res]
disconnect_bd_net /prescaleTrigger_0_s00_axi_trigout [get_bd_pins xlconcat_0/In3]
connect_bd_net -net [get_bd_nets testPulser_0_pulser_out2] [get_bd_pins xlconcat_0/In3] [get_bd_pins testPulser_0/pulser_out]
disconnect_bd_net /prescaleTrigger_0_s00_axi_trigout [get_bd_pins xlconcat_0/In2]
connect_bd_net -net [get_bd_nets testPulser_0_pulser_out2] [get_bd_pins xlconcat_0/In2] [get_bd_pins testPulser_0/pulser_out]
disconnect_bd_net /testPulser_0_pulser_out2 [get_bd_pins xlconcat_0/In3]
connect_bd_net -net [get_bd_nets prescaleTrigger_0_s00_axi_trigout] [get_bd_pins xlconcat_0/In3] [get_bd_pins util_vector_logic_6/Res]
disconnect_bd_net /processing_system7_0_FCLK_CLK0 [get_bd_pins testPulser_0/s00_axi_aclk]
connect_bd_net -net [get_bd_nets clk_in] [get_bd_ports Clk_in] [get_bd_pins testPulser_0/s00_axi_aclk]
disconnect_bd_net /processing_system7_0_FCLK_CLK0 [get_bd_pins genericPulser/s00_axi_aclk]
disconnect_bd_net /processing_system7_0_FCLK_CLK0 [get_bd_pins telliePulser/s00_axi_aclk]
disconnect_bd_net /processing_system7_0_FCLK_CLK0 [get_bd_pins smelliePulser/s00_axi_aclk]
connect_bd_net -net [get_bd_nets clk_in] [get_bd_ports Clk_in] [get_bd_pins genericPulser/s00_axi_aclk]
connect_bd_net -net [get_bd_nets clk_in] [get_bd_ports Clk_in] [get_bd_pins telliePulser/s00_axi_aclk]
connect_bd_net -net [get_bd_nets clk_in] [get_bd_ports Clk_in] [get_bd_pins smelliePulser/s00_axi_aclk]
disconnect_bd_net /processing_system7_0_FCLK_CLK0 [get_bd_pins tellieDelay/s00_axi_aclk]
disconnect_bd_net /processing_system7_0_FCLK_CLK0 [get_bd_pins smellieDelay/s00_axi_aclk]
disconnect_bd_net /processing_system7_0_FCLK_CLK0 [get_bd_pins genericDelay/s00_axi_aclk]
connect_bd_net -net [get_bd_nets clk_in] [get_bd_ports Clk_in] [get_bd_pins tellieDelay/s00_axi_aclk]
connect_bd_net -net [get_bd_nets clk_in] [get_bd_ports Clk_in] [get_bd_pins smellieDelay/s00_axi_aclk]
connect_bd_net -net [get_bd_nets clk_in] [get_bd_ports Clk_in] [get_bd_pins genericDelay/s00_axi_aclk]
disconnect_bd_net /processing_system7_0_FCLK_CLK0 [get_bd_pins axi_interconnect_0/M15_ACLK]
disconnect_bd_net /processing_system7_0_FCLK_CLK0 [get_bd_pins axi_interconnect_0/M17_ACLK]
disconnect_bd_net /processing_system7_0_FCLK_CLK0 [get_bd_pins axi_interconnect_0/M16_ACLK]
disconnect_bd_net /processing_system7_0_FCLK_CLK0 [get_bd_pins axi_interconnect_0/M12_ACLK]
disconnect_bd_net /processing_system7_0_FCLK_CLK0 [get_bd_pins axi_interconnect_0/M22_ACLK]
disconnect_bd_net /processing_system7_0_FCLK_CLK0 [get_bd_pins axi_interconnect_0/M14_ACLK]
disconnect_bd_net /processing_system7_0_FCLK_CLK0 [get_bd_pins axi_interconnect_0/M19_ACLK]
connect_bd_net -net [get_bd_nets clk_in] [get_bd_ports Clk_in] [get_bd_pins axi_interconnect_0/M22_ACLK]
connect_bd_net -net [get_bd_nets clk_in] [get_bd_ports Clk_in] [get_bd_pins axi_interconnect_0/M19_ACLK]
connect_bd_net -net [get_bd_nets clk_in] [get_bd_ports Clk_in] [get_bd_pins axi_interconnect_0/M17_ACLK]
connect_bd_net -net [get_bd_nets clk_in] [get_bd_ports Clk_in] [get_bd_pins axi_interconnect_0/M16_ACLK]
connect_bd_net -net [get_bd_nets clk_in] [get_bd_ports Clk_in] [get_bd_pins axi_interconnect_0/M15_ACLK]
connect_bd_net -net [get_bd_nets clk_in] [get_bd_ports Clk_in] [get_bd_pins axi_interconnect_0/M14_ACLK]
connect_bd_net -net [get_bd_nets clk_in] [get_bd_ports Clk_in] [get_bd_pins axi_interconnect_0/M12_ACLK]
validate_bd_design
save_bd_design
reset_run synth_1
launch_runs synth_1
wait_on_run synth_1
launch_runs impl_1
wait_on_run impl_1
open_run impl_1
export_hardware [get_files C:/Users/Ian/Documents/GitHub/project_tubii_7020/project_tubii_7020.srcs/sources_1/bd/system/system.bd]
launch_runs impl_1 -to_step write_bitstream
wait_on_run impl_1
export_hardware [get_files C:/Users/Ian/Documents/GitHub/project_tubii_7020/project_tubii_7020.srcs/sources_1/bd/system/system.bd] [get_runs impl_1] -bitstream
export_hardware [get_files C:/Users/Ian/Documents/GitHub/project_tubii_7020/project_tubii_7020.srcs/sources_1/bd/system/system.bd] [get_runs impl_1] -bitstream