Skip to content

Commit

Permalink
Removed suffix.
Browse files Browse the repository at this point in the history
  • Loading branch information
aehart committed Mar 6, 2024
1 parent 4be71e4 commit 91b1366
Showing 1 changed file with 2 additions and 5 deletions.
7 changes: 2 additions & 5 deletions WriteVHDLSyntax.py
Original file line number Diff line number Diff line change
Expand Up @@ -664,10 +664,7 @@ def writeControlSignals_interface(initial_proc, final_proc, notfinal_procs, dela
string_ctrl_signals += " reset : in std_logic;\n"
string_ctrl_signals += " "+initial_proc+"_start : in std_logic;\n"
string_ctrl_signals += " "+initial_proc+"_bx_in : in std_logic_vector(2 downto 0);\n"
if delay > 0:
string_ctrl_signals += " "+final_proc+"_bx_out_0 : out std_logic_vector(2 downto 0);\n"
else:
string_ctrl_signals += " "+final_proc+"_bx_out : out std_logic_vector(2 downto 0);\n"
string_ctrl_signals += " "+final_proc+"_bx_out : out std_logic_vector(2 downto 0);\n"
string_ctrl_signals += " "+final_proc+"_bx_out_vld : out std_logic;\n"
string_ctrl_signals += " "+final_proc+"_done : out std_logic;\n"
if final_proc.startswith("FT"):
Expand Down Expand Up @@ -1022,7 +1019,7 @@ def writeFWBlockInstance(topfunc, memDict, memInfoDict, initial_proc, final_proc
string_fwblock_inst += " reset".ljust(str_len) + "=> reset,\n"
string_fwblock_inst += (" " + initial_proc + "_start").ljust(str_len) + "=> " + initial_proc + "_start,\n"
string_fwblock_inst += (" " + initial_proc + "_bx_in").ljust(str_len) + "=> " + initial_proc + "_bx_in,\n"
string_fwblock_inst += (" " + final_proc + "_bx_out_0").ljust(str_len) + "=> " + final_proc + "_bx_out,\n"
string_fwblock_inst += (" " + final_proc + "_bx_out").ljust(str_len) + "=> " + final_proc + "_bx_out,\n"
string_fwblock_inst += (" " + final_proc + "_bx_out_vld").ljust(str_len) + "=> " + final_proc + "_bx_out_vld,\n"
string_fwblock_inst += (" " + final_proc + "_done").ljust(str_len) + "=> " + final_proc + "_done,\n"
if final_proc.startswith("FT"):
Expand Down

0 comments on commit 91b1366

Please sign in to comment.