darwin-x64 #905
darwin-x64.yml
on: workflow_run
darwin-x64-python3
51s
darwin-x64-cadical
9s
darwin-x64-yosys
22m 46s
darwin-x64-ghdl
3m 13s
darwin-x64-picosat
5s
darwin-x64-libpoly
7s
darwin-x64-graphviz
15s
darwin-x64-icestorm
8s
darwin-x64-prjtrellis
13s
darwin-x64-prjoxide
10s
darwin-x64-dfu-util
9s
darwin-x64-ecpprog
7s
darwin-x64-openfpgaloader
5s
darwin-x64-avy
10s
darwin-x64-cvc4
19s
darwin-x64-yices
9s
darwin-x64-z3
18m 19s
darwin-x64-mcy
9s
darwin-x64-sby
3m 3s
darwin-x64-sby-gui
10s
darwin-x64-gtkwave
7s
darwin-x64-verilator
1h 39m
darwin-x64-iverilog
10s
darwin-x64-ecpdap
7s
darwin-x64-fujprog
8s
darwin-x64-iceprogduino
5s
darwin-x64-openocd
9s
darwin-x64-icesprog
7s
darwin-x64-utils
12s
darwin-x64-system-resources
6s
darwin-x64-xdot
10s
darwin-x64-nextpnr-generic
15s
darwin-x64-nextpnr-ice40
21s
darwin-x64-nextpnr-ecp5
26s
darwin-x64-nextpnr-machxo2
15m 7s
darwin-x64-nextpnr-nexus
15s
darwin-x64-nextpnr-gowin
12s
darwin-x64-flask
12s
darwin-x64-python-programmers
10s
darwin-x64-pyhdl
13s
darwin-x64-cocotb
10s
darwin-x64-default
6m 22s
Annotations
4 errors
darwin-x64-ghdl
Process completed with exit code 255.
|
darwin-x64-nextpnr-machxo2
Process completed with exit code 255.
|
darwin-x64-ghdl-yosys-plugin
Process completed with exit code 2.
|
darwin-x64-eqy
Process completed with exit code 2.
|