From ff6fab64f4f4e3b667bc6e6342781b95ea1f828f Mon Sep 17 00:00:00 2001 From: GthiN <90790575+GthiN89@users.noreply.github.com> Date: Sat, 13 Jul 2024 08:09:10 +0200 Subject: [PATCH] score counter --- .gitignore | 11 + Nanoid20K.gprj.user | 2 +- impl/gwsynthesis/Nanoid20K.log | 27 +- impl/gwsynthesis/Nanoid20K.vg | 12855 ++++++++++------- impl/gwsynthesis/Nanoid20K_syn.rpt.html | 410 +- impl/gwsynthesis/Nanoid20K_syn_resource.html | 10 +- impl/gwsynthesis/Nanoid20K_syn_rsc.xml | 6 +- impl/pnr/Nanoid20K.db | Bin 25960 -> 34080 bytes impl/pnr/Nanoid20K.log | 2 +- impl/pnr/Nanoid20K.pin.html | 2 +- impl/pnr/Nanoid20K.power.html | 48 +- impl/pnr/Nanoid20K.rpt.html | 32 +- impl/pnr/Nanoid20K.rpt.txt | 28 +- impl/pnr/Nanoid20K.timing_paths | 1303 +- impl/pnr/Nanoid20K_tr_content.html | 7572 +++++----- impl/pnr/ao_0.bin | Bin 907418 -> 907418 bytes impl/pnr/ao_0.binx | Bin 907956 -> 907956 bytes impl/pnr/ao_0.fs | 942 +- src/game_loop.v | 264 + 19 files changed, 13881 insertions(+), 9633 deletions(-) create mode 100644 .gitignore diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..31e0c13 --- /dev/null +++ b/.gitignore @@ -0,0 +1,11 @@ + +img/Pictures/Camera Roll/desktop.ini +img/Pictures/desktop.ini +img/Pictures/IMG_20240711_161743_991.jpg +img/Pictures/New folder/1.PNG +img/Pictures/New folder/2.PNG +img/Pictures/New folder/3.PNG +img/Pictures/New folder/4.PNG +img/Pictures/New folder/5.PNG +img/Pictures/Saved Pictures/desktop.ini +img/Pictures/SnĂ­mek obrazovky 2024-07-01 081158.png diff --git a/Nanoid20K.gprj.user b/Nanoid20K.gprj.user index 15ef245..a37023c 100644 --- a/Nanoid20K.gprj.user +++ b/Nanoid20K.gprj.user @@ -20,5 +20,5 @@ - 000000ff00000001fd0000000200000000000001000000029ffc0200000001fc000000370000029f0000009301000016fa000000020200000004fb00000030004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00440065007300690067006e0100000000ffffffff0000006200fffffffb00000032004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00500072006f00630065007300730100000000ffffffff0000005e00fffffffb00000036004600700067006100500072006f006a006500630074002e00500061006e0065006c002e0048006900650072006100720063006800790100000000ffffffff0000007c00fffffffb00000030004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00440065007300690067006e0100000000ffffffff000000000000000000000003000007800000010bfc0100000001fc0000000000000780000000a100fffffffa000000000100000002fb00000032004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00470065006e006500720061006c0100000000ffffffff0000005100fffffffb0000002e004600700067006100500072006f006a006500630074002e00500061006e0065006c002e004900730073007500650100000000ffffffff000000a100ffffff0000067c0000029f00000004000000040000000800000008fc000000010000000200000004000000220043006f00720065002e0054006f006f006c006200610072002e00460069006c00650100000000ffffffff0000000000000000000000220043006f00720065002e0054006f006f006c006200610072002e00450064006900740100000099ffffffff0000000000000000000000240043006f00720065002e0054006f006f006c006200610072002e0054006f006f006c00730100000153ffffffff0000000000000000ffffffff0100000201ffffffff0000000000000000 + 000000ff00000001fd0000000200000000000001000000029ffc0200000001fc000000370000029f0000009301000016fa000000010200000004fb00000030004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00440065007300690067006e0100000000ffffffff0000006200fffffffb00000032004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00500072006f00630065007300730100000000ffffffff0000005e00fffffffb00000036004600700067006100500072006f006a006500630074002e00500061006e0065006c002e0048006900650072006100720063006800790100000000ffffffff0000007c00fffffffb00000030004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00440065007300690067006e0100000000ffffffff000000000000000000000003000007800000010bfc0100000001fc0000000000000780000000a100fffffffa000000000100000002fb00000032004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00470065006e006500720061006c0100000000ffffffff0000005100fffffffb0000002e004600700067006100500072006f006a006500630074002e00500061006e0065006c002e004900730073007500650100000000ffffffff000000a100ffffff0000067c0000029f00000004000000040000000800000008fc000000010000000200000004000000220043006f00720065002e0054006f006f006c006200610072002e00460069006c00650100000000ffffffff0000000000000000000000220043006f00720065002e0054006f006f006c006200610072002e00450064006900740100000099ffffffff0000000000000000000000240043006f00720065002e0054006f006f006c006200610072002e0054006f006f006c00730100000153ffffffff0000000000000000ffffffff0100000201ffffffff0000000000000000 diff --git a/impl/gwsynthesis/Nanoid20K.log b/impl/gwsynthesis/Nanoid20K.log index 5347cad..39731c6 100644 --- a/impl/gwsynthesis/Nanoid20K.log +++ b/impl/gwsynthesis/Nanoid20K.log @@ -6,14 +6,18 @@ Analyzing Verilog file 'C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nan Analyzing Verilog file 'C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_top.v' Undeclared symbol 'PLL_CLKFX', assumed default net type 'wire'("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_top.v":63) Analyzing Verilog file 'C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v' -WARN (EX2987) : Variable 'bx_min' must explicitly be declared as automatic or static("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":118) -WARN (EX2987) : Variable 'bx_max' must explicitly be declared as automatic or static("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":119) -WARN (EX2987) : Variable 'by_min' must explicitly be declared as automatic or static("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":120) -WARN (EX2987) : Variable 'by_max' must explicitly be declared as automatic or static("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":121) -WARN (EX2987) : Variable 'bx_min' must explicitly be declared as automatic or static("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":149) -WARN (EX2987) : Variable 'bx_max' must explicitly be declared as automatic or static("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":150) -WARN (EX2987) : Variable 'by_min' must explicitly be declared as automatic or static("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":151) -WARN (EX2987) : Variable 'by_max' must explicitly be declared as automatic or static("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":152) +WARN (EX2987) : Variable 'bx_min' must explicitly be declared as automatic or static("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":125) +WARN (EX2987) : Variable 'bx_max' must explicitly be declared as automatic or static("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":126) +WARN (EX2987) : Variable 'by_min' must explicitly be declared as automatic or static("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":127) +WARN (EX2987) : Variable 'by_max' must explicitly be declared as automatic or static("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":128) +WARN (EX2987) : Variable 'bx_min' must explicitly be declared as automatic or static("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":157) +WARN (EX2987) : Variable 'bx_max' must explicitly be declared as automatic or static("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":158) +WARN (EX2987) : Variable 'by_min' must explicitly be declared as automatic or static("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":159) +WARN (EX2987) : Variable 'by_max' must explicitly be declared as automatic or static("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":160) +WARN (EX2987) : Variable 'scaled_sx' must explicitly be declared as automatic or static("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":405) +WARN (EX2987) : Variable 'scaled_sy' must explicitly be declared as automatic or static("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":406) +WARN (EX2987) : Variable 'scaled_sx' must explicitly be declared as automatic or static("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":412) +WARN (EX2987) : Variable 'scaled_sy' must explicitly be declared as automatic or static("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":413) Analyzing Verilog file 'C:\Gowin\Gowin_V1.9.9_x64\IDE\data\ipcores\GAO_LITE\GW_AO_0\gw_ao_mem_ctrl.v' Analyzing included file 'C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\impl\gwsynthesis\RTL_GAO\ao_0\gw_ao_top_define.v'("C:\Gowin\Gowin_V1.9.9_x64\IDE\data\ipcores\GAO_LITE\GW_AO_0\gw_ao_mem_ctrl.v":133) Back to file 'C:\Gowin\Gowin_V1.9.9_x64\IDE\data\ipcores\GAO_LITE\GW_AO_0\gw_ao_mem_ctrl.v'("C:\Gowin\Gowin_V1.9.9_x64\IDE\data\ipcores\GAO_LITE\GW_AO_0\gw_ao_mem_ctrl.v":133) @@ -39,9 +43,10 @@ WARN (EX3791) : Expression size 32 truncated to fit in target size 16("C:\Users WARN (EX3670) : Actual bit length 10 differs from formal bit length 16 for port 'sx'("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_top.v":27) WARN (EX3670) : Actual bit length 10 differs from formal bit length 16 for port 'sy'("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_top.v":28) Compiling module 'game_loop'("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":1) -WARN (EX3780) : Using initial value of 'qs' since it is never assigned("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":41) -WARN (EX3780) : Using initial value of 'qy' since it is never assigned("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":55) -WARN (EX3791) : Expression size 2 truncated to fit in target size 1("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":16) +WARN (EX3780) : Using initial value of 'qs' since it is never assigned("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":45) +WARN (EX3780) : Using initial value of 'qy' since it is never assigned("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":62) +WARN (EX3791) : Expression size 2 truncated to fit in target size 1("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":20) +WARN (EX3791) : Expression size 9 truncated to fit in target size 8("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\game_loop.v":132) Compiling module 'DVI_TX_Top'("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\dvi_tx\dvi_tx.v":1044) Compiling module '**'("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\dvi_tx\dvi_tx.v":0) Compiling module '**'("C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\src\dvi_tx\dvi_tx.v":0) diff --git a/impl/gwsynthesis/Nanoid20K.vg b/impl/gwsynthesis/Nanoid20K.vg index 374e080..7b0f788 100644 --- a/impl/gwsynthesis/Nanoid20K.vg +++ b/impl/gwsynthesis/Nanoid20K.vg @@ -1,7 +1,7 @@ // //Written by GowinSynthesis //Tool Version "V1.9.9 (64-bit)" -//Sat Jul 13 06:44:52 2024 +//Sat Jul 13 08:05:23 2024 //Source file index table: //file0 "\C:/Users/Petr/Documents/FPGA/Graphic\ 2/framebuffer/1/Nanoid20K/src/display480p.v" @@ -25,74 +25,77 @@ `pragma protect encoding=(enctype="base64", line_length=76, bytes=256) `pragma protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa" `pragma protect key_block -VjzTDa2cfo+1OK8AY4roh+8voYP6HZ/ev0arUxnTCq29FAxOsA1iQ+zCT+uLPjtmmn9MtKEO4uWF -IX40q+Fnmxx3HG1CkahMc0Kj7i7Rj1doYB5XkHN0Tv458o1aY2DuHs+z5cW1qGK0u1LeCuHAf8eO -IskqCxpAakvTSNYiGuu5czX38KvI3YP9bvVDaJFA1TxGUM0pQH31kRbERNjILA8HP7R1SjTvqJ2c -o04DzBpcxwBTd2rnGGebBYaeg+83mYOeqp15lJXFB0Wt07Vscb01DWMvJbl59DSVP41FFnvoQzUy -IEftjGz/qzRUTlKu+KQ3ihYZb87yq7iRHj8dWA== +lhwvy8O6ooMnTEvazF4dWWd02c8IZzeppTOIlxFmvRzmXcHEchao+ik7PWmxL3qN/aRimJ+0ILcK +NhZZhgRyasv99QgjKQrY0Pnef+lhoLumsXodSuwU670mmAb++gUUDWHyZFsYsJ8HDqDXghIJDFR3 +kwaxZf+fWbQdfY4ARPTBBYlGP4+wfYyg2OzY2GUopHbaXaJPXAHTltOryDr11PSle927XXFh4nbE +WQMM2N7ldRLawZnrRadsCx5qsoK9SWNn+sKVNeF6kDAGhud7uKDGiP/PvI/N4/zn2FKeuUubgp4Z +GCRVSHSArIpkt/UgvRUzYBTZBpbDwwDoNGwW/w== -`pragma protect encoding=(enctype="base64", line_length=76, bytes=3216) +`pragma protect encoding=(enctype="base64", line_length=76, bytes=3408) `pragma protect data_keyowner="default-ip-vendor" `pragma protect data_keyname="default-ip-key" `pragma protect data_method="aes128-cfb" `pragma protect data_block -4c3tk35Xbfra0pT+oUgSIxrCS22cnxarhUe5SHPIbIF1rA4DBo1X8w8qX32imCx5cJDcLh7tiyw3 -IHVsmddMhnfyPsyol9Xt/9pdV/xSHFTANejPjsXSbcpUvZPK7XR7sNAUjxHw1twWqcHwjbmlfQPz -0m1RYOsjbtuL1eQKWRVsgdC/GNY3VdKub1z3dE8bqneMtOMuXVsqh+5M6L+Jd6N5tGxOUN2Y/6Uy -b3KaTe5t0e5ScmvxCt5kZHRmo6PBvxR6hwkYbOlceCO4LLQKTCOY0p+4sY9PGo7cz/gEe9SclTTg -nMf4AmyCzMogGjFN/+oAknqdJPczY5rGvP3dpz/6QK3PjJHB4ZbTJaEAq7Ur9o9Qdwvs14DcRb4c -6uojKJsNbya0v4+4mMQ8IaEuHD2lV6HNXTXyh5yWHEcpXcVfYH2hLDvzK8jibLkdBW4awG9VjzDA -dODfAUdxzWcZ4D2T/fLP8SV9G4nJ99EoPknwbb12U1Ij2wSsqtEdocnmmJeyN6ER8palrdXHKCg/ -5LUHX04Qgn8vHb3mON+I2AlSpF6S1jxeF5qAebua/5fG09L1GzHetupO65dKEv7d+L/BTn9lk3EJ -EGXJLT3ZFUcnV0YJLH9eaG9IepWGKGkprk8a+mRncVQ8vSHI77dDTvAHzE+bDguWx2q2PLd8WQGk -kxY2qGLVDZh1e2UhNgMkDCpU5dzBIm+/XB7UWHsfhADAP+w5Nm/c7UYNS8QSoEbwyGBQ3kNwj+UL -tOaQU6IMEJ37xvW40JILs8/Q2iwnGr74p9bgS95JMFj20VFg1Sn0Sp8XbOJjdtYwPyXZphMPgOZz -M0TLHik8HNRBMxRNPEShdnicD4YJaFmE8n+aLl/RRAst5R6rgPuhysRyEUzIrgioeKqHF8X9JaHU -C9F07DjT5hiM/c61AyIMD+Yo7tiKaTrO+7w1taR5gNLPxByfmEDvng8bHei7+LDXbb4w9yWNELB6 -ufUTAN9r0qseJed4VezbSHAUpKmH/jMP6hKyjXpI6rLrRvxI6cuXo9eSmIe+Qig/wXsInDC1fOfF -CTDNesKm+d1+BVsvrRjRQwyyDCeKhQL4+15iGKM2tC0lXOz33bRjHRoQx0+7Vu61o0akLkWiVvTi -jo5sUab6PAfnz2tKzVpC22TLwIDmfE0jdea2mxw/al2ZG1HY8jgySsvhQTn7ZG/2QuATWkYmDxmS -/PJ3wfrd4OQsyDSefXz7l3l7gjTQ+yMyCf+xhgpSftxwyDNI1Y/OMZbS0+G3CY6owaqON8Mb+WY0 -oEYjvXiEPn9sqah2WVoao1ZgWD1JjcLJa4TY6ocsmBxokMkEkWLw6iMn5x8y1sd1V4XC5BvJjpbe -6Ny47EVwzLk1bntbFvMWjUnTjo5AMwfzXgU1gfqSHOuS/Lq7ijsT10AdL5h8kR2ur3rOWjFP1HMq -bM8loLPVHwSvA3lvEMVYq0GI3vtq4A0HO4QXQ0v/hBmXfzfHdRzpyWWa6F+/NNH9UgqFKn2F8itV -9T4b/chftO961Iu+vvFdFJzuJhTO0CIyIwU+flad12eYj3xXfspfWEcLIf6S0dFBn1883hIIpp+G -HjmQPhGAWZ3o7/4hovr+llkRW9I7XXzBLfMSvDKAKDl+FTCnA13hQhiNWEI1HOYe/LZKL6L1v1jz -xrMCFM6TtMHSxVP4OU+mY/rTlHehOa+Ijf8SZFDyQHb098/WwtGXJ/tCZ+a2eXjDavsuysiH8Kin -phs+dUAt4wmk+UYuTjfCc/NY0d+/7wlL410fAWGqW8XQzj1CLiUjP7kbOA7Pb8u9rOsy4cUDstks -ZdRu/xRE5dW9Nz1olnbTQA1tGn4p8Xwck1GwpOY3NkzxHm4v0OsmcsNw30ezIYZ4lUGBhADNmjn5 -M2JaowY1wX7bO95vdS+We5KG0PAfC6CnaMFUyWvjHIQ1Kqn6IXyHLR7eJuKu8pGmPqXkds0mUU36 -s3MmazTylFxZqgaGPxcUerWw2xI78hQIqFqY2o2APOJhkfq1OeajvSH+YD95WtYxA+FPds8GQU+R -oRM+0iFosQvBd20WXNr/iTbTHgWzElHpCdhoOCzjHEldGZMnIWy2bz+oFroS7kxQYJPN0o0cSQEl -9UbXAVOjhPI3g3HC9pKCsCN7t8Fj5cN+u6J42UnNp4zIB013NRJD7WQjvD3KB5yeysayQ3iYDuDX -l/jY1DKsFrtIKeeFldBtG3rq88VddAd+80SgdSKtHksWJrt2FoP4RafOCHfRY1NwgNzJrFTsZCa/ -N7pAMi4qQpfMF8n3oKcpVoGiueTK+z3kmrv/zK5dlUf5LgHPKI7SwUfUZjA9c6Hj//FidUPTfxTW -Dc+hkLSar4eGL6d+MU2P5QMPLPhwy+ZSYvEarAU58UtzchaKUFMt77/yDrRSjKutvFtOECScRZcC -uc3AaXqrplktOZPdZJKH8xnFcu3SWabY8XQ2eQQSjcrM+wplTPwoMqFOVboQskPyoKhEjUTJtl1M -uTPtjpoMDkJi76wrDIg6GsRujDR+7JTHzodClWIwApK8+e6r5K5Z/h671hkBKT7IDvSijVOZj6OK -kB5Gsxyq1ivcd6m6eqQ9riqVJlAzUz0/1wq5MuAEiSQXfh06uz5EC2tTqUm2lSzMpfQMx8wGXtxn -t/gC5u+AmQEV3oHKeKPSQcrK19UyxlaiFRrSFqUYyJOkl47+aJWXLTFId8tztRH8qB6IiJsJPTKh -NIeLDFYFljqYJO+7tp26N8+YcFo4tQuuNn6CMHDl7YFg83/0BL0hqkQ96694g5dF92aF6y0awZdP -xnywnM8oCUJLbF8PaP/dM2Yvq2rBS3rcC9jQ4Lw/0D1eIIJ1wau4+1alfcIbs1SRRebP1e/OQ6Sc -hAvpNODJZTGJoSnlxzKDHs/QQY5AWOakgkmDAjnfZTSeLDOLVo8XHwOBHBInmUm+HsX0mQYcUW7g -clNCNoZTeAqqvIrULpBgwruSrhHAuMVs9/vTqlwx384YfKJ7a8t6EKpzZ+mmA44Dtx2KuLCduFv9 -ZFLj5Odv1l+V9hKNp9maFet5BX/q7gLizeoXpVf9X2vTydRbxTgtj/k2gsExsaL0/1TgG1+oLSnY -o+39wnl9Pr+If/3bv3EkP5wQlEjaDB1Gg5Eq7yKtdZc0G0NLn4vog43VL+gHAtSFjRAx4D6dvncd -cxPwAkBwo4hlYs57xsDccNbdTGMd+HmvbvKyINnUUyW8OrnOoIcJNr0x2jPAWIw7furMT8sg6BgH -NBZkwRqPkK0M/I4+oTiLdt8Zvb5TYI/5k95/hfSsFduG5Ccui/WjCi9x4Z6t05I1LdrJnhRqPWOL -AU8g+PEpjnb4xkVHkcKuxci7+FpDMV2gkCu2q993j0IYkUZAUHIiQ5mI72AyfON3LnJyb7nZG5xy -Ddbq4q17C+T7dedsl8+mKYLKw/QMRq9VgAhwHeNjUKE8E0wcpcNQd4km3p0jhDXVmKT1mWCV33WV -+1EcLGT3Q0Ac9WcPjPzWVyiO3xOia7f65+9tjc9TdpD1XTjny7WkCjs9nv8Cb2oqJLaWyO6SAOhT -idUp+KmOIuewyDKOwKQ6Bwr9I27/QSvFwUoPfIspd9qp/FR1wbRdtTNzvthd9lcFL482rRg7yylp -Hvha2AvV+rqZrCboq4+X3gt4l+kb7QlK6a9yXun5nm2dUlHuXlgSXWmm0EiwUdHRIKZ59Ossb2PQ -aLeObmLQzqKvD3irjats1cAnBe8jIe28hXbhxhCo90SEKiv5iKK7Twas8N3I9YodK8sWSh3Sbart -XXMqL4qD3aumk6oNfASkbEETD9W09b0UvNLoh+AQrwECTuEb4vXcL+h+6hVh81FVHJgP3/jTdmnj -vbTboPIU4bPMajQGZ3fwKt8a6NIUA/l3yYirNB9j58GS95CF1vMvI0fL8vAXm2T9E4Dp0y21DzLr -5jJLJGA9pbvIf7bDFmPT6e46+1HQ/dKqhx5NJPBiRIZy0zpwTUp1fhxzQXzv2rT6dAdEQQHpbxL5 -sXuAhR2yACYDJwzAgX8hcOTnDYMSGCvqVsdVNz6C88gxLAUtMeh+gVnFjXHFvY5Y51q8jvkK+v42 -4CjwkzrnWy+2sXuvNgy49T2qtDNuHSZwraucNv5jyis/G1DFXMyRlSMl3YyinUo6NzMWtz2MH/Kb -Ta8GVoBYlOPehpgtJBFF6XfxoP/dMnu0yXrtkL12OB+XVRNPDr1SZpREfDoStLgFCmCSRe0RRJKe -Izv0fif9cqiHcHjaKDl5JGrecnTTIU4a +gfxioMsHxxmeD20zbY1z4DXfOQKaZ+wkRg/upiu6cpHF8DWBPfppbjyDga2Dv6q08uw65LqauKF9 +tjjGAJP8+FKPee+RlaHxxpLldMZ1YpVrFucd1DFpPg/MP6fcnRJ3V3Ys/+HRym4fZnlLn8WqP73Q +r84nrmB0WsWsUNkRQGSUKCm45XIZYnE/nKbQk8fFG0XslYNUMLZuZBBAjfa4tQBGYVLMyUrPivFb +Sx0ed/Tirhh/ezLAZ+VQCDH+PFVxe8QlcOsP2KtxHi5xHnvU0Z9bNtVQ2+zHxBxlpHmIVUaZAaze +/hmf4xbJrLQdQuGJDdqx47cNQlyV5pblft96YVf+qufSTAOTcHp9G0Sl2Off+1EHvHZpi5723VAj +syq44s7hoCgVUHCYT1cdl6mglT9zRbDHju2SWaEAFnPFWaX6OuA11/2ph+eSyFwLIQABkLerv5+z +20MOHnLWLykCiNaOJ84+KU/yCrvtidRUH+tbmnoPBHO8I6u8nvyDjUlEjgBU9jnSLSwtzc9F8zcc +HMzbjTuwRHI1orLYY5h/FnTm/rvFSSRoAdXDiXZDVk9nfuh9t39Xn3MOW3ayJ8+1B34ydXZy3jjR +M/Inth9KtmdMuKyMiXTIVWljLdKpcEVe+bLCGLYchL3ReDTItY5b2a/nZC4ZFjpefxvbSjiTon/q +ndRvA83Zhjhfkimx7M8EQjqpScJFtyHZtbqsXhs5efIKRfUI5HWUHB4YbXiJ8zDANiAOPL92On0w +vJqbHopG9HyntgaiNa919wSYvZsJtXb32Bu1Tgj5bCudCgxv1dxhYydk0i2Qcvb5jNq2xtByTy6t +xmeJOS22Qp6fDas2hdD/gmvV6AMoarnBj2QE6hqykdrX+vwrErQlXIB9MAdE7s5l/A7oOpQBY6TV +oEfHPoyZntrBXgHt1Uf21VEp3wmHKl5AhyIFmooOmkV6cVfaVHh2oDoW/eY4Qay6+lnAwJvAsgKC +WdG93wb4foRaFLIixc9+zwe1wfoviI7WLoMrqNxIkjecUQFtSUAhNOhFfPYHDrtSdy/rgLDJ4GkY +pdr6pm2nWzn6AiIqcjDcxvDVtjFqDf/Wv7ipZrqgOJMAByEH7lCf4e66tUfuBIeVrrEsRLSnAVK9 +kVzuM0AOQhFp16/xCLLczbnf+84T4mIPLCq/lm8ndaXXxgmYkGAJKchJp7dkXGTjAwLLhlRvHd6Y +otJH7U+cseMjp+I8r6/UG6j9dpM/oAT+sKgKGV8MCM3ccbYKMyqgnqV57FsYxsAx7SkJ2fxiYPJR +K1LEbY2jhq++gAq4+PsuH8Y76StJwafdgKhWqZbGVZYBt3U3UZG8x/F1QkJa30RZ2Ae39yvRxwty +VKmUUqBUtE2bFfH5vFOZTQoIhPwK+PiWWnsKag/h/cT9xFsXQVLwl8hun/nlxKYswD2us2dDrfrd +h2WUE+0QP8JF977XEhKvYdY/6xIpJ0UWWu1vSe9A/vQoyAMUUafHsvirsBzJuyIzgWxA1KOX8FQw +E9uOzKaJ2U8bXrapjvF10xvvG30HQXQ+1n85cwOF+vBepy6yC4SRNv8RuieX5JLWbWlSutCIu3eY +cs5Nu++OWrEXP3xzRg9THuODifPuYTpnYFFn+lWOR3uIwoheDLnGYO+0cFXn6pS9I/X/7TUI7jot +rACi4LwU5TdYR2ENiIrPnU2r+Kl7YfuRsFIv4LNzh2qkeR5sgwDfNLwYT6WWQDMFpUGoDSgcrVHA +WC24cT8nLFMMQY+R3Jz6b6kpbvCHcV8MRxhDqnVomsnT6q6rYAHH0eWrvDnmV//Iu6VtxMnU3qtf +DGeZnTwqxKLWod86Raw7l1MsMsYuXfJTyBKEh/r33nbr2zmOYN++iguiySQSWGw0MftFFH3qfkC4 +otm5drpkYs+EuYfSjY7DbkSplMZJp7T9DbV+oK5ch3gf+XiBYLv/BsEmFMPl9UUGX0lZYlj0SFSc +GNhy0Hx5L8BeqqB5B9qYIWWGbQ+4JCC7ypjOBusfbNdIxjJ6Sv7VdQ4Xfo96EKoPGMS0YX0VTHJ4 +akbNiYzuOgQJ3hTmAsXTSvqTjWHMHahk/jChpH5B+T6bP8s6rhj38k3V2/GhOqteaAJRUA6B6XkB +HkCxfXKhWnsxjMeq/+QRik9l/EU1mQv1J31K8+yJxU2T5anj2C4AlrqAP1vxMWOTyZjgTFc9PJPm +ZcKgN+Hdez77H6VdSLAQhJfQPMXoNVAAnigtRrwPramtc4R0CJijWq/n3S50Fnz58adn8hLYskCZ +FWGyPvtl99OMHve0Cj2u/JmR3eoWvn8T63SaZFiJcKkoMm4RFsgG6ZB+fzHVGdKHsLdjPqdSYx/j +DCz/XLmYgeiUDpK3gwRi5mlwN/ht8rvJbbuAlMCaj/1kwZ7k6KSwe0TE5Y0T8w1C+tQmEJZIZAZ3 +BohUCpqEsNCQRYVSluw9XN1QL9i/wbU9BlW4v+//cPWIpSDvDsYjh+73F8oZHGpDYHgT8AL+Sf9W +mq/mHRqsklNSvUWy0fGleAzIBuWeidx8g3REssxAZkCl+aoCSRo4M9i1pnoZpcV36e9e8Irx9ZJN +/UbS63DtLKQSQk4VvztB3HaqxbhIAIxbaw3r7hg5HQR9Qfj9r5DBS9dEsemp43dvKyvHTqjyqqdk +ca5nkcp1Mk3iTalywbICuGcqGV1S5lSUroo5vV5oxhDCjjxyJMX46yfWof+LBURKvoCZzoi4Hhyv +zlsq+6tIoEsxbIeltwOmAd85ejyG+AVqqTO0WcH/n1igYOsWJ3VeHqIalOh5nEvdRzvY+jO5awXL +Ybi0xvLpVlCra5TpopYr4BF8cXABiI6fCYKoiWsa5hJz5dj+D2y7SMZszXReMr+B+RSsAibDVPHR +aX1CD2F7zfkc78KwV56s6Qqq6+yCv0Z4rvnxuBYFWRzqQygHoqmQi3LVFfDjxUQlAxlB8prsAn3t +fwdNI6YjCIdTPHBINH3qCadquQwEJHfwj5w/O1vmfgQ5qpPA+MYpL6U6d0dGX+EI5WYTaEHc62gH +RKBwrLy4qsuYKo8kejBc/ZLE3sCC/yyalVxbnBaTTBxu0vbvHrjX5IazaeoOwQM/+p/hC7+266hn +lnF2CBFCFNSdRTMjq+O/inhFWzEiL42R/7J5Em0tpdpNY5g2Hi9O7OIZ9XyDfdf8KYiVt7K+r4vv +r91KYCrQuQNexCC2vp9AESZiEFzFBpmwwFgGYd0GdU0MfRzJ5y1hxC0ytvFDNiABt3ohypzafHbr +vPvBnsXlGcoIRdN4MuibBrVb0zDHUieFW/i7+W3GeoliL3HX+Z9uxKLSxa/e/KuagRtWriHZjrLf +7jv9Iu7C9XljvXs1DXXCmdn9Io65XN8sNTSSj9qq4SRuV2g+qR+L2IgmYPZmeEn6f+tV3U3yWZKK +akOip354QvM2wFRbbKxhZcLVUdPum3OG20C7eI/9IR94KBu5xf2QQSv+dUsirlhaJCVjkI/6kZzg +5ZW53Rp0zEExgUP3LS0mw9chAa/SFqjCQhRDH38YrcJgjXTlPSkUUbd1a8Hj4sMGL8FIWG34Leo2 +7SqZIENvM5Iy4zV/kcU17WHkUx9UayCO+8Omv7roZvV9PAzLSAnu0zP820/Ubsbsa2Wvb7j0w9ap ++OPMt7E4fWNcU+4SzJTl+Y+eFd3iuy3/L57bRZy/NMH4mQRDjC9dhn6wSmgaDkEi2PeE207kHXq6 +56HQMl3lKQC7QvcssKbLfe0RTDm0zkGb+TEQtcVKVGOBqL0rCaqYMcVm+0HoyvfSZVtUASLmHA88 +kSMAUdJcMSqiqNDhoTDcZ4mn/te/dBrtbilGdA56+FTj4mg3SJuMKanky9UTwEnoy8swWhOXMwCa +uvywEW704jYFQU5dbFBXyojJ5vMNJN5gKuE6nIAb2ZIAv9/+Bfl2e2yNeyVVeo8GZCygIrsR2jt/ +1b6Uul0afH2Y/IVep3Tjivs/xyOd6db818eG3Y9HtpZE87h1nRuFXkCHw3K8U+8+ACpddJx+hlaD +UYU/lKiR1rmU4hyeWUa2BTX93ReVkrzizASQcMPsKnh3fhq63271GFufgGayWKy8MU2FTUSVKKt5 +xt3JONJjbbFBPLLin57nPWyUszB4GdILLVaAbeM6NF6PIh7V1SX1JBz0z7rNSVDGywBvX9cSjwfS +xlkaQMtVu5dy1+KSiwDLzulMLUA6213v7TNJLc79UZmim5zWkn5wzxu4mhk+sfmrj9zxiRiZ504I +JTqqqvrUWlKkXZyNY9J+ivu2MlsAQD6kxzSITz5i8MiK/4n0jGxBLKwz+A7EH2zRQW5Wvhf33Vt3 +Fu756I+r3HBaCIzzTtpj9C0jjESFJkkWPGBVceV+pWckltmF2BjOUTeSYnLYKip+1/hw76jCZ9e6 +F0TLuQ+XGUWN8PUGAeIEkNksBBA4BLlvkUrGNo0k3LO6RCKvpJnf0yrRiJrUdtHAhXrMQuoCL+Lw +gdvpy5POIuMW0UUrsz6uE6sCJHBus5TFiCPOjoqwF5wPsX6B1cZ8vBiVqU1z `pragma protect end_protected `pragma protect begin_protected `pragma protect version="2.3" @@ -104,1406 +107,1386 @@ Izv0fif9cqiHcHjaKDl5JGrecnTTIU4a `pragma protect encoding=(enctype="base64", line_length=76, bytes=256) `pragma protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa" `pragma protect key_block -oRnYsQJR2nXejd3jCk1aZJyedFACVwkc15VIKg/dtPIesVj7IR+LSjoKf2osFFCbE7GPM0dv3+oW -BlpCEVPkLmyqrzwywbYCXSINPFIHXzebb8a7Cs4kxMvx1viE5Zjzj8GqbxAIScYrW1B4TNwfh30Z -AC7gDRua6vFgfND8gZKs1VWCjP1hXFWpcnV3SRSCEkokdMS5/hqTNppUOcr7KQf8FNxAXFKH43N8 -nebjYriYC8xZeTGDeWOukhXGTEZy/MyvfGG+OBOAcZI0nXoDhkO/qVWTg1+KFWEXEkSeLjl0ljP+ -fiR3+rw4xzx/qyporUVSAkaY0r1E/cqyXykX4g== +pQkRxG9vHVR11z+z4LUM8QKlcunapWvnu+V3/08gI9DKZhyxr5okYXavMh18APgDWLm/tcCn7Wiu +DSy/4lcxc/0WPZ7d3IxbD2MmeVkHHUZesfEgrTd6tVNVYbZmpf39qwfMQq0vqWz2gJ8PRhKhkDRC +V74xuRcoQ/KT9i6ycFYM2W3FjaQtp+X6Ho9pQ9DKuFk67JUvhZB+6qS7wKFSC1y2nUEiaAVo9REK +5nwx/gIznCPeRWkc8s/FmcYn2MBFimm3P4Ck1HO4QIANmZrl70C2qPHUcD3HFLeTiLAGUZ1JKL3D +8WyLW/TJ8HlogMv8R98f3IvLz5Nd8F05Yl6iMg== -`pragma protect encoding=(enctype="base64", line_length=76, bytes=79168) +`pragma protect encoding=(enctype="base64", line_length=76, bytes=77984) `pragma protect data_keyowner="default-ip-vendor" `pragma protect data_keyname="default-ip-key" `pragma protect data_method="aes128-cfb" `pragma protect data_block -4c3tk35Xbfra0pT+oUgSI2VmH+6pudurxJb067HWGuwMkrQlAzCnqpBrcEA/clJTBW3zShE6w8a5 -Bkowv7+pqJFVlk+VIywpsW6RNduUWO5dPlBsXyxAOcHwul8+Ne9Gz8a9/u+figwWlQ+ZAVLmP+zf -lfVJW2gYz7IwPND5f6SuisdlZAkFMkl+XAIFfLS2kilJXuZaVkP44qFt6CR33vdwtwm69MHdUULH -aQBk2w+8H4/Wb5n9a4QOmPr91Na78y5oorUInLDAGZ/csY4uAc89qvhx5irpdx34l8PmvQv5liAu -DfIfE6lTWrPR9EdvZWeqJrMcw9ihls4++8HzUxzbgP398MvNfQrDY6z6KHFrppd5wLlDJP72THaD -0HxSOII2P5uyFFJPjXC125UESYa5jZ4rf7xLN6wn3CFGulhWwNTB44TyZ49sK17CGOBfemTxkaU/ -nbQ28R3Jd3PwcjDmNy+gE+xiMKzf6toMnTGZgWBEtbgtoRpe1PprHISh7Xvga2e2XQ/IP4t617Gr -PCZ1GhomsUQIRiH7uJ5tHsEOIKKOjFZant0fB1ouL5AeFVAyCFhfaPtLKF3G/pP+o+O9Mygd9YQw -c9YJxHKeWDvu3DTQc9+RzbrX97Vnu/F+Zt4lKm0sE2FlJY35bYyNhIiJCQMH/JMKVQoNT9suHaRM -X2xOwUFAilcR/IqkurhN9NQf5yNqXflF90+2c2W4I8Jlgwpzfh4DJnRVbpAO7iMGix/e/XocZ6oJ -Rp093P7vMbr0q4Nwlpg//F4ERKx/PM3DjewcqD/PGya99kOo6Dm4ZBdu+gpfCAklln7r8A6vOmRB -A7utW/PUAAHxiyo3PvEKnCyVfKBGyi2W32AMPbQWu6nHZ+1AlN5890132QoLaTnxnRYUm/YvsGSl -+tVJ1Is8ROBC9syQLAceadJvdDlLHThlrAqzjTLEnssPmyJd0s+0WDKAO4DWGs0YGNPRJe6V/HYU -gP5Li9DpAo54VUACrt/kerPQ6Nf17VK7Bv3QzVhzy6bXtsndr5Ad83AzLE/4zVy8NCfdX5SF2gjw -ipmusaQ4br6yPetXfnTZOQrFpjf1qm0u271vwPScZuA//sQy5gmpAXMNVbLA2n3h/M6Qz3HyPqe3 -NkgZVWHvnuIEWnvrZb7lqTe12NCMACPy7Ndiczlb3PA2h+B02YAFRSKW/6oT05CB2nCPj1IM/cYf -9TzDqmsETH/CgiDKPZvk/FTk5f7VRFJVS9FDlZnhv8xPPVuWSnUjx71AZkvSleEzWYPOWmRlSnUu -AR3RswVlP6TBHdGo1LCgUGxImzdv4z9Zko4J27vVctWkvqWuzRaxp34IiwhWvN4ehpNvYnVrjmU7 -HNDBsAT2GxBXoMOkmUOLcA+MIsNBafy7ic5wlk/snGS7Vc9IaeJPWo0ZCPWH92iCBXPG1lnLb1Kt -sTz7iXJrMMuiW26OzbHriFhhn8g/8kXh66FAUCO0sbPXE7HaGEB77I5Nss6vYG6SRHMi5khqOUyR -kgHM2lhACkIZmnrCZ8HTL/Vhth8YYYuEIJU4gnnPG0q29EsVywp+F0MHFZyKFXjcfwsJogV/ngYC -VnCoMcv5Xs0ioRRt4zoeP/9N3Szday06TQsqECqeyJ/L/T30uLK3uuXC6LerKfkRfJ3BGv1XqLz8 -FPWEqZodCB0bI3M/j9fJL2Q5UeC4/dB2fptmli40F5Ojbs6H9UiyKlQ3dzZFvXBy+S3dNtFcVbz+ -1LNl1g/LzTU9nsBSMKpMaYmin/jGNogU+JEH2ABRthWIgXiRbxgk434WtHkM6iA2vcMQLY17NJSA -vIFw2zoRiRB9mDVGsfFGrFjv6jq69WvTsQIDg0k9L6dil5w/Ny8HRNWHYl27cjlEqpgnD6YiF02/ -M4tZOssnyrMw9LjEhwRVBO3unGKsoOlDaccLygzoAMW6Vm3Tzj7r2ilu4DJjXW+iBh+VFGHwRUTC -008u3RWAYZevBHSdkJo0NHaYdCkx3DaWz6qqi+lKiv2oyi3o7yJKQf6YK5/bRiaZjPye9PsG8er2 -ItWyD4NQUXd0FDp6MpqujjKuh6lX7hPZFH+1tSGL0X1lbX/XcKB42ZDxWJ0AK4q46XJzNzGApEX6 -AtSyCMJBfNWnGo3I0zNl9L8PnBOj32Wz4nfAOL42ANWrzKGUB2c5RULOS5+xYUy7NRRHaVV8Hdhn -IkTm3thDX8rvt118lkb8BWxyvy3DFzqOqbpiR26wQTCGB/VPl89xwsrQsTC6IfYFqJQ7vgdBfwLK -iHLuo1qi08hYtltt42x+43j6MjzBttHPTFO4NgGlk8ka9n9/8dywQWMBzKgt2JWfrnB8C2gRw4Lo -5UK48o7M6PQRKXKw+Qo88Wwz5WwDs38ueVeDmh/1oH25RLDKLWFarOLsK4IOi9bH12qC/Fue5jgj -PzGC4Jm8PfZA1jeLXxoMG2rMRSV4mNUKLbEINyxAHXGqpUl6ixIfYP5UX81ScSAweWKuaPRVgbxR -Wq+sYlTRfNbj8XzhEeTzfp8H+tdwVN5F+IwsMMTyxevTu8AgmIPZxCNQrN1jksb4xsPqCFG0FS5X -r4RBt+lrVj5SOug3h40InIL4jGcgVIJNllqW8Z3VFVywjOWTpT6zAK/yAcXu03baJ9Nj4ZRrx6wi -uui4yz3V11SOcm1pzOrD8/oEQbo03cQzpQg3BSxSnlHuyurMWWnTXoHHgquZnGawg5vofaZEpsjP -ZVz9fLtvuprZfnHwVz/B6rHf5u3WZG8gf+7UaEOmzBnE7DrJYe8hMgNXdbdl23tPXwM7pElyl/Ku -iyxUg7s0vQRcER2Eee8MgtNaynyEXCORWlX978wHPj6W6KKISAi9jbA2ZlTHenUQR9pt1ylyDmBZ -RZq3ZGc+k16Y0XZD8EQ3cb05xYLmCnQ24LstjBeGlKoGxgMDkai0bH3xkK49NMxJmhWXresSVb30 -xYe/3oJTcpAcVIfj8BJnenwneHcOm3F5wMWEwhlwjSlbVE7Ax/t6feTOUGKr33An5iY75juUMXhA -/wb0P98jnluifDnelV7Y96SrgEXzzGyZ6Xf5OvCePCYHCi5x3MvyqRQf3uCV2FVagNe/+IM62RgV -S008pEFN13BWY2X9dyiMv9Mja+gf3fxsgyRNBIKQEF2hHaYFG39ueKOM72sSdNFtviz5scZvl0oc -eRzPonvB+jwnTp12T58pXeXqF3Qvud0T4h/sR9Gd9jKFMFTabNCyaRTxPaj7FVdayObZUnfMQ2pG -PrVpZY6BVNy35jMwrPS/90tjKvel7DHBzjjHW843IDv1F7aJgkw1nMwmGh5EwyWSEA/af5Zx1oCr -3I+mntJKUSLwI1tnbV5+93LpaclcMfy+o7nQPX1bofZ742oXIng2f8OMU+i3zFeH7+LXwDE0ekj2 -59/sk3WeuRJF9TgXvn2+9tVNqFR+TAodXSFTWXcmUf72qhqMyHCGlMgilu4C5gLQXluTzgBQDfQR -4bxDVGGXy52kEDHdxBHxy6CNvmFo7gZeX3BnjAhYc5coU+Uan8mNnDc+lvV1oj16NF7j9aIhGIlu -CjOgCpsKBK0RWV14eKizzdEk8kDEIGpNnHzz+zpU761DAI41UCy1QbOSUrqeUHbprPqgY4AGUgnr -Snx0S6zugzrX//VGGw5z5HbhFWxGUby2zZ+FH0oMfQKNexRQVOFLtFx9BUL+dsPeBBmDlb9uC3ni -DfM4pPT4K2yBtHqf7elfAJuFCR5t5lhFAWr5S2SU5aJ/MEWLJa7x/BY+gPbWy1XAz0olSGXkQfd4 -3LJ26N5AGNM6heGArDr5h+Xqv7OCHCOP4z1XzLjHNAZKZMvV0Fp877UxmqBcdfKv4cD2GsEwwmU1 -TMako00tjeBD4t03Vr6rEQbNQv0l/lHPUc9w9uOcsDxfkvvdWeYg44oSH5XhJbWv/G9WZiLMv9N4 -VuvgpspMo1ETJ1S0divlVJaEtKgxqgVlAG5z3xlXx47YpSl0efuIZW40bCjFPJK8sjxtVJ6GCY+6 -YU0PYkpLdMSnPHY5Y//8a2QU48JodDPE81b4umU9cpa0TkBrzlikLS1S37ei2m7wyAmCYVJclTXt -L2MeTs00z9q2GUjOQDngJDxmU+LT1yePLj1/MfZ9coqEmLsX4D4rTl+o3Kl+W7AU6AXAqMoxGigI -Zk6BS5OvUEn3gwlXAm2tsgskWu+yfsXRpkCGPd2cNMoMM6aWleMLnRHrrSSve9dEeos/XaAOUOfL -wFsIC60LgJ9gHMXclhBfV8Xyc2uX9UrrmzOzJjoSu7Kf9ndXNyGSziMf8HNTve6ZN7qizjMsZIsQ -7kmsUsG0pB+4uAC8H4cLokc207wQ6xeJ8MX3YfAY4d6P2skKWILJoDIKRRCaXf17wbWgNBbsvAYR -wmcpOkGdZVeqEmFRVCIspwBLau6aaKaykbEJlXbTSW8C4b+SdFOBKW3DxbsswPqDvawuo8qsSd4U -V3gmjZzyDvKMVHks2MxHjqW315wPqcktcFxScplnTN4inOyEM2evymUanntcKs+rp2vO36gJ7D/m -M3KqxwETlIxpDVeohuSERi8dQlKl1ng9y5BUdR2Msi/LXgKuuoOWFJj/2sP+22XaMVSHbR9m/AGV -zdFu/2gdE3nkIB/U8ume6d8EBShjPFYvfQWt8I8xo0xiWVQpX3y5Xfzvl65tjJTRLscOLe1Yr46x -VABV96Lwnh7ymHWArxaFM7N+owLwSQ7kQoEb/CPx02w/WxI4Udw2ZsCOs+WOPCsw5HfQuxPQbxUz -7OlWyISM0vkJKmeJnbad7ruJRJOkeIl6mYcMu9t0sn/X6A3dsAvIY0fklOCl2llT/8BKK5ls+mtE -+u3mAMo6gXXQa8b9n0yFnRDqVs9kj14X+ovMfr82UMgdvX7xYD7p8xy9l2lD2ZgEKqsiKnCb4d3c -m5YCB1UwQ5s+CO/13W62ciLQaIhe18FkMPyse8QH6rTzMRjYYbXmuybsn1rQkxlVEwlus+S90ERY -x68zl2ETKe5WHlZBvDgNv5pHSZWbz8nyySO535V/6zvq13kQkgTgIhoYen2BsV6XM3yxbsjYeSGm -P5tG3ygMsXjzl87s48JM+iso6THcApL+Rbn6qpFJG8zjazvVx06W35OGsgIMde5J/7OkLUAzMk2M -69ZrfWoMz4G+8ehLaz+NVYoCnzlsqPu1PHQ326zs6jcEq0kFLPPjeb5MU5JCqtz5xq+w9p60ihkM -ZHWJUdd5xM6xR3gTg7mmMJzK89O911umVdmdLgmHFRQoHFfrHzqRGuR88ad4c/ac8oncgIvZuHN/ -MACR8V/dh6F0ote4/yV3cflcslxC9XTFtm6wR2Qzc4iIagRP6TY2wwwdUwF1zsCs3C41GfGsZKro -Zuig6acI71vjxA/O0rd4ERdGFCTGwbmupkv5GEn3G+XDxnU0j1KQVoz+JSnyjlm2aIUwLIHlj8f4 -SkZNHPZMRhAgTIXjYQvZDg1bc9oM7xQfBffiNEhTqBKpCpM+kioPKMFfS8G5epABxh+hRl0alDrz -E30VtKUkaz+qbqO8ciILytxB+QyDVBV6E1614GAxZJCWeqv1/cseIb8zoz9SpPAfMMSgPNIEhius -UiEXiZM7w9ImTs9xeQF2q9saYSwTQ9qGpEC7M2NlArtRdbSApn+T4vZ1xQHCqYeonGxSHBiZCSkl -4FmMQxl2YrSy4ZYuYxH+nGxTCeYeQ+YXVwcCHwF4AqnOcLhriWFuuwKuUNnH2j/n5HH/TBxiauMj -5I4uYG8L2f5WeVlIkiXIf2ERtu3fDv68hxnAQJ6zwugIn7jVeBx3jVkA1nMrBZ70Em+rcBNW+nnq -mqi+Kjnc9E5GZ0ApLlZNnx4vvP0VXroFY32wRDgaTaBySohASLvelG5Xi2zL6xo8/yc99YzKn+zC -urBiEOpvQw/9ItB9Fqk9B8T8/EjcvTRo3guiD+CIKQn1iKwqBZ2o/dpkYYjyHhCJIEqdDGNXzn0a -lj1CDnFnHO5p9RgBL0pt9a1ScW2lfw2eY4CXs3iYKP1sIf5989yW9OHafax1ghpZURlY0HymLVJg -gD5/m6oAsEboLY1qvYnowUgk1MXT8u/eJusYCZ1QBAHMKeMVcAZoAysMYrIUnDpvojK6i1A/YM9z -TAhWW7gkRh1WDxUwr08lTZ+twsc1DsVG1w3uiOHsmAKunRG8WwleqseRDt+8wsslbrMiEe1MRd+a -WDSAiasOuqkfJ4jz+GtTbCvYWJ7vMXeBvBhMhdhJHpF2vP6W4kHg6mJ0IbkGM6BDx4XWHJoWPct0 -fwikxXK5LpzkcNfs1WAADgrrn33Mr2anF7YsdXMgMmTvJjg9l6q8zjbQDGLPom6rtGN+S6pp5fNB -9SeIAv76UlzOITR9bxnfG/GjJrwbi+3YMnK+PFWlfa1iA8H4X3LxU24m44jvBd3V5bwpyDLUdyJ7 -+dSXENzjGwZjMT/zMWSuicMEf2uI27ImMakx5zP2uRKTcBiEkj3hOjyr6c03+spTgVOUUhENCZ3/ -2Gm/CP1oQ8YQUpcRHJM1uZ/ISlU6c5PQtB3KMF1Oa8jiYQ22ZWtwmCs6pH+d3K6FaCFqxTCR6rTt -YxE2ysm/gDNHMzTdOh30I8Q6n+pSqhuND5w6j3TRC4PhsFFej08bZ+BSoLLfE25DSWk/l8yDH3FP -v0iOq/ibUrZEnknW9Q9IlwgnLCwYYIvyC2CDZZ2sReu7lHhKj1nPIVpxEtEmPAB5QgZZpqHrSN46 -SKr0l4R55oHQ8z+wlzugGJjBy13HlWhQJENISY/xOV0RLu5AMl9oSlhrEtKub2VkvaH+5iIKGevC -/s/FIqSdWuT+PdvpxpWDim9lv34G5swhE8iIL6JBUPgxBzjjofR7HRWmcw9Sro5xmK1IOR3hW5KV -xYg9V3tuYHNhn5eYf0C5Q6Z0qiXuemoFdyeCaj6xyT8PLAqOfZqFVReHkGN+GVaywHdSPayOBl7U -FD+eGcuVeRMYaqa4IiHevPPufaOftiRw3t8fJB30YhLQ+TvN5EuBOV8P6XUUzbrXQ1qDQvp7hUVA -Br4bR2U4AyIm8X5WmWkJ8PPh2eLdhUhLR3qDhy8mi8BMhRA8IYmmhUnt2NfIDD07f+tV4fKeuvi2 -zvc1xYBa97DrQ+zehblEYWcZ/57fqfBmnV5P0J5ltvMpX88D2MyD7gvYsu+dDQfo40R1y5zGbHHE -yfWSnRhOscGCAvxb4y6m/wUxzTH22s8I6KIkYm9Wj+bRK9rs6AVkkZ69nMF7XZGK5aOER8Ul32I2 -zSGGNac4Yosge5Uon9rve36aX8WmeMYBmr5TwxJ9JD7rMGbd6edXBReQxkx0araAc/gYkE409Q5c -Ul6bGTEu//Ow2jfVrbwO2EMovvCPEnXK3i4UdJ7l44MF1ngcxYj477AGJSw02ZOmSe1pSxxs1/lP -c4DSKweyJRe4Kz1k5+/P/8fTtmTbjy9tfu0QY/bKYHNLpwMInN2Hx7ByBVuWrtXUlkLP5xwG9oRc -2Ux6IrCDQMnjniCCRZz+eNAeF92JjZ3l+PNA7qcyukbeGxOICm4+QRpO0IzzFFnaF4L1Qls+CyYj -HgXaymPyY/ImYTkmFtp0yfc7XFFvwROXOO6aDliC784iIwESEOCnSK9HdQgodKXxauHi3via4cGb -KneZZV+BTHSU/leUyHpmTndVShCeMNlWBmGgM5pGHFEFrKoAi+ZPKi9ROrHnCKt4ohi4yqEibetA -viqNgsiLPr6Aa2Kvdb8kRHZlUElHUbWO16msx5e4detYLPAb6yM07ej7pzUKFJoqIVPZ1V2CnqYX -Oh91qAPP5cU3/NqBWPxCD47dOqVFHxQt1afCl5s74zxltztjVON5qMJrTxcv9wxdZ4nGeB6mM4D+ -/C2G6anfPTM3ZDb1mtpZR85btegm23xdC4vajS0v8DwuLvCVm2A+xHBsQs1r+4P7M/3pk8MQV3cA -m+sbZbJueILVupROs5NcaiIkLio6rcPJ9MLRpeOPmGehOn8A/TPl9qYAhApwZEoTarVVa+GmkIP3 -Y9UsPrT48qFZB87GlzstgMIHNq5MZqA/wHGzvBG3SJurTjCspSAHN34yK6lYQ3nu4vtnHsJ6SWhv -Qwtj4JKK0llqf2+M7tcGfueGu95F68Mh5S+gBb4CUrjdjOcLNwYywCBropYbmv1Znw/9qnMIMmUO -p2whzacNG/RlCl8v6efT73wLPMg78IG1a97c+PW2bFY9oiJc98LTyHpoeHonC6Hn6u6I7IWbWCCO -MokMbvwWcOJvLk+0qOmjgbVMKP3PmLT3zmu/eTt2Z0wHmLtlOD5a3p0KdV3bnWOBBVP2ZxkboZm9 -aKEZiGBPun/HPslgLb9C0WuRQDAAJa+TZsKw+78KifIQHy9y9uEybKg6qLLPlTiYzRPML7zP43Ww -13AsRf9OKa2Nlw5Qq8uZeCYWjnxZBkz2+af5vLmSa/Et2rzx3L8kfzd5ai9qWjRdHS8L2qc4puFk -Z6+XWQ4OVbPrnSmGqhFMuMCDXDMymBoU3ztQ6+0LdHWQ/YnCKNnBIjqiWMwk4ODWQFT0CwI/R6j/ -TmP3G3Ul6fOR/tioVQgLBsxOzXniYHfySvmEfBVG4YVw4+8WJISQXptEiHMW0onbA70hqrzdGzyN -nj4FBHul6KBGR0zbb5tRCn7mloO8bozVFxTtJQeD9RD6VVLH1NRaUBfRKALZdH71b2MNceoYulpf -EKpKwM8ReuAPfmUqHYUlNRQbneISckRDh1gYwvVpjIZK4Z6sXVIKFTJ2++FokHU6fu8VQAnavuH2 -8PBhHNn93tGxCXP3lSigxD4/E5C/MQ30cufrjxaQhLEy48O6X9DGvh+q9y7VCTe7xNBd7xzH4h1B -dywMV7He+s9xk/E3MX9Vb6i2y3UA5qRzAZH50qsrB1cMVaNuPruBusRjmYSSUkUQP27H39cauL7h -DZpLJl1/oUJaoKL/TeOSgdM2xIdIuJi49MwBHGD+kEV7glLZTsm0kIq3UO+gRsTwSK7UP60npT8f -LCT5wH9zBrpKHcq2YRuPF7A4s9LTNGJHfvgXXvToxVUsttTDRGRwGnnElteA6bputadBakqhjIvn -7t9ob5zbq8UO57c1S+qPl5jTiWyrl2Ri1HHkQL+iFpkXqAbrrQCQH/mD3XDMHKryrHa7yJH+Pw/q -t00iB9KPvRYd/mDR8nCCTyK0SX2COGCEhQLz8bBAPa5vGWp+1kcRccIIAKQry2LMENFIGljx5o7V -eu/liVRJMwb2d/JUjfXmYi+wmSlLDm3OenBFmD4RMJ5z3tg+bE/5dFKHymTmh9Vi/XFdsyuVb1lI -KJ8r3wTk8JXDOgpo/w+xPltZXEyraECBjG2qvozFln8PKmX6vBgCNZTS46oQ6Lh+EU/9atFHGUW/ -76JwDd8dGlmoqHCUZKVlwYMWv0nvKJL2hYxceuU1DKVAMvVr3lq9WIX/ZVBsKb9eAIrUg3qwN0wg -4WXkSutwzzztq+3Lqdd1L1V1MdSDOUnro9DsJJUIgtuconkOEDvAgTGlt3SjpkrbszDkKwGNJ6Tw -X5ayvzawz/ZuhnylpHPlLG90meS6tx98/S/m9TOTUu5PprCYgrWVmtfZj9/R10GD8VGEVmEsay44 -RQAaN1pqDBtyGsBNq508O/weSDmY+H7dy+sjP66+yJtCvhr4ZIJIhmZblxUVzhDseHp+V+ke7Vie -WQn7R8RPCyIsz+VlE8u7iQoAU8+ZLzHA2jNUlAjGGCNO2vgsqssaZLT/kiF7NQyjRi1kJfh3Qpz+ -0swQtS9Rx8k/YZhGX/r5V66zxtAa+prbMjWN0JgTUd0VtG7WBB++Zw1bgNCcpCQiW5MAsTWXnOn8 -iIJNxj2131gD3zdRfQQPdOXMgW322IahrZrEKdPyclJFfPWQZsey0EvCdkls8GHKAPRn65fQeAm0 -67pcz22n432bj2Mq9Dt6a4WctmPrKt23gkmtHC6xpLcpbJA3LY1xkqLtEH47skNPweWxEDAfF36V -TNDVLqaC2xIl+euGL7f1HYF/4t42wuP7rbnvXhOvPnjF0Y5Mr9kx1BfdpIhxIna0s3ri/05UBVa1 -PzTNB9O/kepxcSo56p3fMjxiOAgXSpXzhjNTgpFS04aMgXdAhJeC9YB4lxAEeIsfE/FzxecgZKVC -cf0S9OCNgIq6U1WX+/sf2RXiO6KzZNhGQ/Bogr/Kn4ztjDbAz9NCJHmJ5jsFJopcXsZAA3p0H6F3 -zYrszEgF0WbF13A4qMsdTJHRCOBrcXOIbeIbQvlNsynnG/wG4vl/tMtPrTFWglby+zrud/cMbLMj -klmEUM5cqhppTEoSLEp6aT1sVn0rLN0IOMeX16MjWUpfvdjH3UHnB2BM5kxAgYORRK/BN91oDV+v -LhJMgKJ2nBE2/NmqoYsaFGdJPczZxmjEe0zbc1ksk4/63g+bYWbjJ9+85W9OM7gIdEUePXsLcuUD -2/iGuPDTkS6eZqfj7+twSBwfEhmsaMWu1s0K96XXHmaGPQSgWuE8xxehr4gXk1VAUgEZQzOsQOiw -u0w1+iE4ncQ4oTGUK7coXQkYUX2FLTxoMzvLNqnYpIhS2do2ZD0a18z0aWN0JYLo5z2zcEriZTlT -ivICOVBTeiModnEJaqttpVIrhl9KDsr9w/2H2vCiVzKgvM9SCFnldnglzlWeBZqRyK2m31Hf23bB -+0TSf6LncDGaIisdKyRD3I4Wq5vosAWh0ARJxw48pN7Dqrq0y2KhwlxrrgftmVGqcNKviiX+85dr -hHhRCWK/t0GEOHBMPEofBT5wxgNHn84fdK/rnhEUiRwJXtO5nhyV7amUsYJXvuldqgVczv4ohW6/ -vP7TfMnIb9/bNdT/VF7HWOpwJ7IOQvJGQ1QXXN3M8gmuMmLna0AIQS4IebylApxKWEHp7KnMFPYJ -7gM2gP9EOtPAl17p7t8wa6PeFElrkYfELBEcLmq721Mjwcw1kB4OKGQeL7n8bhDnd88O2lDAIeAR -YjbofmBioXopUECGiCYw0vgvSksVpCgWonHuY5D/JEBgrfpS5ecb4UTwAev65XbMXXpuzH21vrjR -+Esbe7L/R29elO8qEQ/2zBSqMPTGUjgTR2kzVgU53fxDPrg31QSahisvj5MC0qelKOnabT5v1wAU -wdgzvS0BbODdOysISnhNFi95JVovo8Rrotcx/iAA4K6W6Bd7IRIpWdsYcaJ6KNmHUOm1Am+nAd13 -tTgM2FJYL+nOqNYqCfjupSfTFWXFNAXlGtVWJelreAb5ObA90VoT85xvm79eeRVzRT4C652Vo6KD -LmEmlizVyk0xwQCrbbjBEAn1Ims3qkqIHFbr2n8nvjAk34v+1/026JxhszIwhq56Q+BBv9yX9fgz -D6KD/yipLzG/WOXVPXpwfEK7OfRYjR38G+C/4dvTTDfnBeOl58Y0aLr8L4r9ITabLGCnZ+AY865J -pyaZOD+obxjsA7RlkDjIlVoV82tBRl5gMNsGu1dl2tyX/8KijV5W/8tktkTU6QW1Mu6jSSJXeIf9 -KayzuyamS0g7toJ3Yeo4lm8HdERJBMIdimuG9UMoYVdmVtp0TtyBXrnhYtX3Xw+53P0NjhFHVG9y -5Z0KWpVz7xgv6SFzu0MLlhk9t4Y8oNtBm37pQYpRe/ggorI70ee9Gv4GIq9LoyFqY2EXFbu7JJ7p -hkBGu7CnHWGISoOvdDFTAy7Ah+nfBqA4fxlPEj2OAydRH3LlxBDKDEaU1RRqCmS+iIOmEsnpxJQ5 -BUN+ESBmtQ3BrGXAlJDob3AFK/QFakDuIZsPX9F8pgEbrsssIglUKazYga2vV/70JKeOmxvPHfjC -sA8K3XyXx5JOGGoaiV2XwEjTmq7ygyLs1+HhweTMNN1wo33IPyX//+w/3SNAmwafTIcWw4yPqghM -OtUKD4VLgNIJHc7DwwCGOJ7Xv/ptdH+VV7XJ6vOpw3Cm/GNEItQC3d3Bqae9nck5DMHCD3tLKPdf -naO2sE1KXrhHxRV1tlc5XjjcH59IVyZavPf2lt0UF7Bi6jCWshFaXKaIuWRYz8WEkSINqzziR525 -ENutDfcn7QcAzCtwMrYLWdO0DfxuN1jVQKU6UY0DKytgD8NsG5rxAHotWwZxTF/zKK2p2uvQHHK4 -rOaCO+899mWO3oqL5RshOVXqgqAbp4GBbPwnXD9ncH6ViTlT/0auNmDLsV/d3Sfj5TR6Gl7L5ThL -mCoIdsQhyDBMexg9/2nUKen1v+V3J6WykhL7ugfEkY8WrxKSUQ+2wR4QdrCZZHQVPa0FagM9nBJy -dVu02LschthO+vkho0ETAShsD5unMQe+kF/FKsSJgKefmEll3NZk7kAM6grwt40bmQ/doy5z8A2n -CXt4wMSwSbvTpvoYx0NfPzXMpWYNzvbAj1B7j6miBnNpe0WpZCLfOUyW5CMIoM8aK6d602Ob6YDx -8zeH0X/jkpDrW+EwDqqxe53ZMYOBS9aKf+e0HL1sN7cb0X6ZzTN0MzwGVm0CitzG5n0D3Sc8bHtJ -fMMTduGJyaI2SoT8JC2jO5D8hpOE3+mi7fIFxircIH4HeWuXtw5JNqc7rmb4rpfI8IItYIio9pJY -/a+kECx37dcKqHNMCkCKc2shZD1IEVbDcGYdVcmTImMuHRRqjuLBd/s5ATrr1Dd7yMFgDcKajcPX -0ZVWjxfkwiKleUJ9GkgJqi2jk1vz0CUHkS1oNDgwOTHe9neD/Nhxu6BGVnXpJtqKQq3zmJazM+2m -MRsBPtGMgPhieSjqoHMmA2w1I6JE2le2UDwNd93QD6vJ1p4HCVcsBazBdrLDP9XTRbCel6FoeeiV -GykPvOSZSM9pbcKzJv/BVqY/DdsqrnTxRuoV720onVkL3S5223T+/SfMOHWYkOFU68Pcg5MoNB8Y -SQaM+9nlwXdfC86c6tYi0f6wdyj/q7KHxS/ncrdKx+UmczZTTox0U/AlE+ebGEV5erTGHzwpNWEU -8dAbFq1GeoZCL9oGzbMPVDygsJOyqvg49BudFO/BumZsQ276HSmAy2tjH7F+muZjECX2mJzOuuXl -kw0dJ8VQg/yw7zLPyIJl2Z4mJAwebSKET4Ze53A+rKews/MC97dNA+V747sPB5LQwy2/ACYREUYr -pS2cjaAKGb68AIojwVaGBUxQ9Qv1biJxv0FDx7OmRQzW6TZmFt1cOVfCpFbH60G3IN3hE2JeJ7pn -BHe1/l5/c7SYWoGeaxU9DBRsFH5CYjTxy5+nWm1AbuHKUE5pMbCEgFCnqfpdP3vwTUeJ9ByrO6hg -YUFumwfdBdYjMPuk1BHmMlOJ7fToQkz+8Qp/YdBm948HwqIPWWQ/mXTJbDbr6lRuB9CXQ1Fyk2d5 -6mosKVD5L/8XLerccH33S1UxIBJS/2y/Hipve1/dwTH4vAn5NIkD0KdlD5Y8IcXNi3tmrK5DG6gs -Y83ScgtMb3NfaviSBM7FCtQccvmmEQttJO52dkUFGeNmzIryLlCemSzcS7qOPhvHYUgoz0bIlOjh -BULRsA4SVgkLV9AwnnFufrIWvK++P4T8AIseMyOegL2DYnpmxs4MGl2f3E+lTO5dsygHR4sAc8wA -NxeNlNVHIiJWtVH4iZciaxmxpJ0uyGD+G75dd8jHPoP3ngqrDbPMIvuZT0evL4kONVmre1S5eP8G -cl5YUETpaP8yEdN/AI9I5AS14Y6AisgSF79/2M0mp/YVppZflCtVD/gD7qu+j9B9gHrsp/e8M5KJ -URgmgcaE9bHhH9vwWho4rjCrBo4cUko1fJVM3orEwc07zbJ/v+OcdhGdbi4bwvKxVWJARoLJJHMm -0pUu8cvMZh8dKCHTSkRgD7edUy+N0rBCuYCAa8cEhWWJAqQuYgm3AkUfZIRcqDLcV2Bu8IpamnRr -gk6+YMn27q/L7hOO2dmIdD6EOQjafzHTQEwA8R182Ehl5WY5JEMQomFKS/JC+ShXRgl4O7OrXJyT -5h6LJBLxv+62jxAlYILWQp6hmYHdHyRiDoxA7/eoH9mKpfRUzzISKcACi6EJOZwZ5RfPX9uxpxXm -ntiPF6MyhW2LivsO8AVrH1Y7xHeOn3LpI6/w/+VwL7BFPSaBUek0XNyOQ0xk4LBRKddW/IpW3sn7 -ppXw9UDyxiBQ0h/QssRbvhuplDlLZHdRmLAsyhyzs0Tgl57UZwx03FhPt+qhYP8rD6Fh1Et5MdHl -+oeyvjiXjvpQS3+AGMVmveHOoA2vRAk0miWk0Cv1CD0mUfYjBJTFl8FfFvJmO4smPQKht40PUo2c -cHgshWhhk8hHC64/AUJGc2lBLEMcQlN8zasabK+OXpHUihhi/cl34dc9/AtgS5yWAjrOx9mdwyBV -pRev1Mj6lGcdUD/99F/pRw6WhttmJMGzpTI6CkOVk0Vo4dxwbFEQYRzsRxvqX86TRrb5wdiRBeSx -+TR4aqrSWb4yCwnD43z3cb9ydzNw+Jx0lUzOieuaGlUnu7yLkejvFVFqBL8LihbE5reMH7Aodj63 -4Mi/DbtQEgdKfWhFfBbIvt5LHjuAHgx4LMBUqB9eorqjLTd9unpQb7mHSHb3EGOdxjgR0+8/MHEB -H/He/mn93DFnnsHtwhQXHbjeQe81d/HT0S6cI1ZYEMWBa81zzT5z73HN49eZ+4CKoNBhQgD1HX/R -FTl4AfZQAu8P35kemEz4ly6Oejm3MTSrO3geXw1Tm8+0KVrfv4BaB3SBGYCC7ee4U+n41wngrR93 -K7d73Tf4Dz8Y1xoTBF0PaGbiltAS/8fr4MbjaJvo0dWud+35UB6aDsn4LdEfxy5lh0alkStQzAj3 -rJa9NZFK0eHhTVKQg5ed0TocpJCTbpiX2VKfy75EyuzfUXLGiWVBo3sLpzBoNSXqVdghWmX4YPZi -CWUbgyVnxP1exVHTVHWsIhxGdMIifmDjA/BOpTHxIkxAOdyoHNPvqUeWK60toWZLLmHeiOmo+qnE -vtSKT9SNXwn5jDVui3NLX6wBA/PEsx18XJB9FVWvj1yIREGBtpQeP8bUTULhJEwJp6ww1J89bNOr -1A0wsQTBZZIe0T6N7fc3rni4jfsXxPIFqbvbE+0abopTrZxJ1I2lAMscAB8JYhR9vLir1g3qQU/s -dGzmbMnFh6rYlAlF19pEQsAdZX1GMb7SObW48gEQNpK2yzGuuGgu7HXoP3Vz64ZkT8jV2ONv6U6+ -pieqPtgnLILei1+57shmRk11xk5YxKWNlaVQQVXj9CpRiwkVaSjBkGxB7aAQlo2AxzBUD6r15w8j -CpUCLOJxA1szXSsy5xYvzCDQrzl5wNIk6UlaTMsARn4JS/PmFZsDaz2lL3EQKBydGzqJgEXaIx4l -C0pO5U3seEQYox5ZMSDN/3W1FXNzi+L9z3KRW/JvdTashUEgYTJtIFyQXOSGY4cQ4kdx+cPxq812 -dzHPRkyEXIP7gn3Yfv/bF4cjn9+5tUCCwhzsNBaGoal9IcvvPDgDI0+UxvAnRCE8y0OdBj656lL4 -jqnN5Ivf7gwkOHfT40H7gfCfgoWQxlFV14fLoTNHKjfRd6v2uxOlJgrwg9k+OLZILfR5Zg8qVJLk -ry0WzZg6wHBSs39FzS6Z1oq5GWNASKf+bG3CQE/1ffrjUGRnc1LsieFWhc8QLHGNT2+F9Mho76h8 -i2Imm1JYcZ4i/zMDqLE2lD1D6aS5ZGIM25CMDgXXd/v3sKjMuS4HcdpSSsjROBYJEdYWJvUMGLJX -lCMpmJn5o0GhYalcSkj9VF5UjBgcXnSuyf3woY1WTiIbu0A/+kABrF4w4V2VdpblR/UHxhmlfXc3 -4CA3tyPR55eGbzXyV3nCAV2Wij2/xwITt+BwK8sJ4HDLY7VCmYDYjFLOqbE/D7NmctTeuh9ZtuzI -8CR46zEd8ZWA3E6wSmhgcKC1j0xWCU8/utABJxnTfNYohmIELMalPfLXFGxlAu8QXu4vCDvoQfp4 -UdRC3/cad6hZru/loJNJQLGbFH0vhMl/n2f3aOA17EMAh4dIUGP1E4ORwRZS1FZy4ihRBaLutSnN -sc1pZhWoU2aHXN9KwXemATfBnMOW6OLMnZMFlpG2tLBDLz612mUU0mVd4GrDXi+81RTp5FXJ2LUD -w5Y2CzG/nDb4rjerNep76vlOQ5OUKjrhIHbL1VZ6mh1qEVuetiZKWBYXBIlmfABkWAqRqCdUV26r -Pt18or7TP9YZjQC3Gjp4Dt9rKVv3xPJSSVO0YTTDpxuFm+R3aHs5qmGd1iRpQLx+iFRHMl+7I4zU -1/edw8qSaq9TRlxK1lmRI/lix3aOMo+/XCBiieNAVincJykYuSV0nU7E1fvxp9B4GqmhXO92uNhY -2PbA915ZzbQb9vrRIZ5u5+Nrr0J1FEqhs0QBkio1AzicKIBdwUDILTlx/r7idn5X7/ls0Xplqqbn -KGfgBfIFI2jU5mbmQshKvuiiuuEP2wAQTETYXqeIo4BMNb7yoKazntN9BsQAXVthjUS5h+Du0+Vu -qv7N9W2M6ubQTJ49iGSj+QMqA2tpK14ibguwPj04l5/HYs95Ki5KlT1CVXovExcg3rnjgpuXOGJR -Hj4mkZUVfnBlw/uWVb8XbuUNzGj2mp1TPEtxIF2Bv5THpQZJP7Pscjr3ZIg4bh9CpUuQ2BLjNLNV -ZOjxa900Y+vL0OZYZTJpDxKXD+hnvJHwFLfjrL8P00EnSnE1LFdAaDw3gCgg2r4z5dTOXiYzem1U -ExcJ7Ajqp6rYJNqjDW2oxV0ZVn2z9MXVWO+pjqcdDOu0tz3kSzURDsKgRIYtbStIiB4X3InxlJfy -BEZ1BtpFvT9mo/8M+8h91qBC5wGVsRegu2vhqd32Ox3YXMVPThxxq6XaXNgoVfLpTD/ZtnRv8JVZ -hdBapx0Pny3Qh+Z3cm0IjtD6oHW7OkrBW6P2EmpJKYWvcQOqmUWLnlQi/8Of7UJDU0s3BfY2K2vK -/HpoJACcHoySBBhUuPOw7VgxVyBDCYQA6Bh9XcAVCPn9Nt0QM6h3xL2FiZWUqy04m3RI58TuBMwZ -jxNNRquDPHQz1boYzXfE6hS3pYr6QGSqZSkYsqRe06osKDqow2zVB5Lebyb3SmZDFjRtdyzqU3ZD -6nCpflyihgokXUhZeMn7FleWSo9oqbZs26ypAQAaO2mW9GU/A2qMOLVEdziYSlwwS0sVJeu3/K+g -BA71wSzTkA45PxvJK2m9ftI8rMZj54edAWl5u6jIlBsDYv7BtxwQRGwbXQn36MqUgyOSk+B+ALKC -DohpMWAA9eSAeqaYt+0YDnH02JFF7ne0Be5W7tcFKWgh8vS4Py5pwML13eoDx3Gxol/fx8qeHKxI -tfbKoyzn0WkXnYVdWCC0ef4jq+ikXL5cblqpwoMDQWRJF+jxhxPknrNjY74IgxNGXY/feGE7D+X/ -NxwhYoBOIfp3SB9otV4PZ+MBU+r1f9r3Fi3LFFeZqF/sysmYJIM+neXcavnxnpJaUYQ22uFphq2a -1JuG/hKoyxOChe2aGUly5TLOdAMM7ue9sR7Xh8068T3RwvUucbKBi4bpXIZ9W20ofxk2P7BnosLG -ih4Hel3i/sfwHOh1RdMyDIZuJ4XXJANXXSQmj94eMP8s6P9GWd+oxOFM734qZYAbIZjFTqg7qj76 -oItYVXhgaTCmBXrJPhv2FZM56S+GpCcp5yvK/4ewiA1v9Y+vnvbNnwPiCNZfupuc3dy5dB9x/hJN -tNaqrsUzYrVs5z91cBt3qWNi8mvTnKI1GKpOy4WzdTG6N96L0svHW22g+SofrL8YhDFdR7TiXea8 -hgZg1egzUSkWdeSXnWIKSF1ewy7rfF+dQw7YxQCJqudNVSH4+nFHspozUYZ/iFaCAlHklOgI25+R -n42Ah1+4+IRz/Sy9hDv9LYGmbqmioMhPKy2ggJNgqpi2bXTRovqheCJvj8GKPL7nAmIdjyVnzi9r -Przt/jBGyiKhnxi7bBpbx7QxnizwlS65VS8ZMpOWxXHjjFo0PrNbOVOo8kxPeSErn4WCzXJDtY9X -C+BsLXoV3krG76G8H/X/5OiUHZKVzviM9jmW1Fvt8chFwUavJ8NF56CMT5Ryz//tWDyzdJJrtgxC -Zdo/jw130jEJdGyr7RKzrGTioOKYWYFIUZkstygwNfRjyWBiIVDgPwr7vdrUdcNE9q3z/wmCVCen -clcwgzMxLHxvBFA0IRahtjc/DcCbi4pIA11E+vME0GKK73lmzFut66DcM8GjyFvO71yi2ON5jKl9 -+CFYYSJieJVUYIpAGdCpZ1U8Vdm7oEPo3wHID6x3Lkf1KKqyxe7BA7qZPwHwNRMFkVykV/SnrQHV -RpL+YIOc3oxZLwy0M5lvsrRM11JN7MV5ylE5wbt1Hw44JlA2lS4YsFQFvP2nr+xhmAlq1jjmc2zQ -2ZPUtifenntUtzb2a/eLYWf+6ME2fULYqIvtEmBNOy9T/hU7fiAp8jmiO8OYoUUps+2fWnUoLS2Q -NJzFVrr3DHNZU6/kxfQFkR7pMM9d//Rbag1sqRGSBV1UqWoO3ie1UMK6r5QyEqN5FPlU40Z5g2ys -cNfjziaOcorSIqTuejhRQHQc32Sp04dMJ6Fs4XM1DSpVuqvVxqV22bBUFYMSf6hw68FpkilUCww5 -SBVdFEzb3ZUCuDdvrt8bNgqzUUY2vqRZduRZvibW8ENmoTwQvr5117PnuWF4a2b+g7oIAKusG/OX -d8Gp+29SchBCVW7+hHqiLmSM8MP7APScj/6gLRLzl2b/h2AWQx9bm+kjB3DtqkfXJU8WxuAxQw2g -YyTNVfuSNeEKoy0leM6lzZNctBim/GjjL4M60DpdZBZmyv+2XxFoUDGG3dLR1QxeWSudNMgBfM0F -bLcKF4uSUIga3q8iW0VUUTeb7HUVJN7+4n+ITc1bMJ/dzue8XUOSU15oHRzWO3nAmIsrYo7jCNqs -J/fP+YzOrEv8UvFNtfZKA7OcQTwaNAWf3Vkor8e5rtTE82LqiNOIjpeyX3sdKBnk3ZXFkp0/wWl9 -Nwh+eRS6XxqVO+Wc0E9FJXXvjofcWxwzzY1VbUpSojG0ZPV95K2Jk97j0WRVCc+GQhjtJ5zCrFFy -PEg+tgVO/hFl3Qp/MrgWyo6auPvCyG24CLRbLGAgeuieYj3C3PfugZRnEGdYaueaHX+gdF3x4N6C -TVzhf6ZWcYu6vLem7GwSBnyTV9ZMwrkPurV50GevcWHDEnmdPjqSu3dQ2qStw1U2Jugw5x5lUpeO -5+K2F3qtkCnGOSFFxeAeUnSLGrw6TUIwMlGGuDf+Wzh1/JwxHMWRBZ9nufj+7aKl3+lSsc4d1T3T -GgPGuj6qRgdxm9yJDSNTSx4e3rmFNmNALRsXVq6Dd5RwtSOV2jNlDXbzOzVMPsxQ/3Oyuto/9Qyx -9bVJykh+KyIlK2BiyX981nHJw75WDzQxIflsg2xk6YzyTrSCW8zXxzujxz9B2dx0LYj172TAhX+g -p/KhAaqjEU68Jc8aar/9aKgqUcuK1TwLsHVxWCMOrRGjlic0GAjiPK6KSjtkHoJH+8+e45cnk2SB -5oyy6NfdpyfvxT5CJnHXy1AeYat5ckwvKE6bDFJgiKZ67Sg81qZi/aGmwxl1EzOu+X8mesl06DLr -+YqxalbrkoH2fbym6do49EGfXLP2UCWzBzJn1gnaPuSjEU7AK0gKiJTvA6jm4e+sEL91m5ERRKc5 -J6j3sK/oeyLRO++1/cQtAuipX6zUzPd0oIQFmdgJRVTh68yxgs5BNTYTD6B/mshRXZnLpnvneQH8 -yMHshYP1sRqb/nxrdbAsRiE3X9pLphvg+BzbEDDo2vsuPUWymhZWT5hM9tucQj02JQZSFUmyPa1j -Pa1x957o88+xdEExJJNvI9+y6nEUjAc3QF/KubCDk28z14qESOJSD3dQRnJTLvEIK3xsMp8I/i3O -Trzq+zEmcCT3OdaPK/cwX+hYzTSbP9lhCbilKnXqYR/8hV6QFp2h6InOpxqTcK6Tr+D46/LK18HV -rWx+ZBGehvUgxB6rbORHAor1KqRSJsAGoPkqaVyyfpjRqHuNsTm1QApBWyRJvChQGCRe4azSsIz8 -EexKcjdhVXElqTxU3LVdChTmnDotnsH97lXk2RTmOkE7qId2kF8wWiPneEFjgiU2GKWt9JnG5+1M -wxdqG8tlykBRltqkiXVtpOMJG39gNNRot0N5XAHidOqP91TlNtFBSXkssn53s0tKhoPweaD9njil -5uMi1XznobyvewfNKV1EsDcn5xhVJ6hZ0ZC5/IHMcld4j0gRpdFHOU0vw7PSbs+TeasRwLa5z272 -LAKeZ1ydBQlaYT88EXlgyauWcSq8I0JDoxd11JJgQ93L2/PIsm6NzMEC8cCarBz0dRTSfnNFSr5N -J05p0GwKYtuQojZ1y2CyPtE/iutQKL75lksyMUfd3Bu7Pnt9TGhMelqrGD8KCynUMMljh4Y1lZuX -p0qLraGcvQSuA460mdi+ZmFZIXBRtIgKoKxynALdOMbku99KMGuRqj+PNVJC6g5M322BB144b/4V -ygUyDRsNcoa+NRJHYzt0FIQJ/hACJNajaweIsKK9aM4rAIzKdxW3KMtPtcMn+eK/W+7tww6kziIb -OIafuJutvNTPGu7GbOXCoaGqo/FVAnmHSmFQ2gIrHTFNgzA1u0dDYVSAejS0+n7SfTg8v6+M0dqR -WramWY3S5DcARNyrQp1PaQ1Q+zZ8reftJehLpD3klf52Qu8Lg6O8Nk+1b+3GyV2C22fiGhBAXeh4 -R4rv9B6kRg4GJ0Q8fPFGqMGpOlBM65DBSd5Jlirhr1nuMDqufHkaqTG6vlXrQ28aU9hNkqjPsaiK -UtPs8olUxuemaf0eiv+29fNNskJkrQ+1IK0wgqLe6/A+NakLvq+7tZCFkrVIh2qfhuw0eWEzVLJb -RjM6dmichUS1ME+iLN7+A51Uo1moPmJpWFNBTv7g0E2BVjvvEMWdqJ+uCypxxkbqRvYjG+M99GlR -/OT8vOEE6pc62Fq2J2bm8+RCf9MpeWfKhOG2qNhoV1mqgcAkrnO73LV8/EEF5qtGmx07p69/m8JJ -1Zg45mY3QdGrmRj9hT2x3cXhRCtxp67d82lga2pPYgExgdb/Nh88kTYZofAURNwNkzqCN6e0I14i -23fHdyPBVKalI3oSFbhszqMk1ay6+TQ52mNCOPaJ2T9axnATLXjZ+Ehp3l2lMqeAHxof3evuALPB -9z0b6JkGNSDsYlSDMzp3QtYGSSSRoVzl22DLtEF/ulHda8M4Nqrp7mFz+JvqXyTuTQW45FNtBWo6 -g2ol9KC58ag4me7DdpBnmgqDMVYon3R4wfy0Y0ecUR8YZh7AvPU6u9/t6d0RA3Av8oY7Rdfu+FY2 -zc83x4284r8Sv7dn3aW9UJu9CdmTbSDPo5igoRKD4UPOb3F42IO0WuUn0N4qujUI2c2DVbDUHI8L -nAtz0xeJ0DMXhJ6zQ1/w/bqc4vYHi3Nnalh+Q22cRCSQBHbBR0nu4IHHFdWTZSCz0LA4FC2US6NY -x2qk14y/yig5bjyaIuMalJSPq2DwntU0BVMz22YwRkyNWlEBnrecRUmxzbAZYAHEMpfQohTk9AwI -YyH1kvjvdazQLIQSSwACa9kpZc3s7tArFAA/h50q1ruq+i5WoAkuDzs2w/vchs24LzW+PRTQGEYM -f697qKW6HtOPPjM33oakPCOCEH1BAUgYEn7f6cL6Ii3VAuc64+AyjXnswMtBmeaxbGwdDctooG28 -C0ajrU0tlyavebLwvcVqGb1qBFE0ZLG1mmRQhUwM1Z5XANo/j5+Ay8Qm9Vr/lZrGQ/zwAFfwbuC/ -BdKxsARutv0OCAdzYz3VJq6bkfrfpMl7o08DrmIqdnAQ7ko27IXTDcjXjY34RqMXGBkw6eUuVCE8 -wiMRBb5iiRm38aQ/tvtp8Txo8zPFoS6qRneFl0LV5OTbtqFAFliL8T5nRMMGZ6lhxQbVrJeSq6bd -RAJSm/dL4Wfd1dG6fd6uG23exogN9AzxPDEW9LbVaMf+vPVgByXBsOn8yu5xIDT8gH+rmxTVyIMk -8su67DeFZIY/7t4/mBMBJwB9RY6K9g4CalGYQ1EbAJGbNK0pMIc4yelgU/Hp0jb3xHo+Zydgu7tT -l0+zCWP8xfW0UokzSlaenCKYCkOagrMD+ZGxKCvEH6rmblgBSEGF6wMPWmbJvoJtW1oBXqQsqOe2 -AMTX0+q9eVyv1bI8IuJydcYOSTP3lr6GV2gSFx4bOKYzTOLwcIDkQ4LqeyIjByeJMttw8bdMJLN6 -N+dAER4BBsr7ORyIuvXVJzjaxuryMRhb59dQMVe8qSTN17nJFI1I8wCXAtvQtn8rQmqm1oTKDr8A -aBfzxTs8ybucnxMUjQOBUi8yVlvOPaNlxTuLFOH/cIs+PcZYGYN+2W+74AKSiwKCm0H8ju8Ted76 -uYCvdSQdFSMoyYW1hbt2F45W4mbDRwGthja2CoocGnHDNVP2Ir7XGuU/gFCrpRtRyxlL8bDRlqMv -qgpxhShbzzKvKo2QkW8irKvPpkveYXrPSKeh/10CKIXnuZjpAWJLWc6/M92JiTUd0DIWOLoWjl7c -/wQce8694FVLIHevXKPUT3IykSxFQpCYEXzet2wlH9tSnY98DUCLPdcvZ5F3vwWQJ3C5d7RMM1DG -wUWCx1/m36MCSktmfacVeprvN+03gv9XoZq2jh3eSjAq82cOmA2toQ1RMm68pU/jMQuSLL0VEbF5 -22m1sDiR8TyG2lauzWwyNKfwRRhunHAFaJnm/KQzvGkNmF/0Hmum4vI9cnSxWbco/nEbKdG0XBLb -VPWW8+4L3l5XCaK3DcrY9JszL+dYRatBiiLn3prdxHbBr2RNX52I18+KMJTV1W51K79Wsz4vuIMp -JBzzeqg/+W9gEMXMdNoP62pnIia+Li2Gz2+GfUZ3t7+GrF1QMhUx19VyfTwgy92yXndt9wFA7npl -vxXTvBsiHaqn4L4Vhtj0IoSbNLnxb+AH4PhVUEbdr5db/L9TgErh32mkADhZ88044SReczt+GUMb -1vUYpAG7PFkWxe9HbsrGsId1kcsCewvpX8uROHRaaVh704XNQqD/reaOY7GRgrAh1X1TrGgMIMyY -/bLA3ZwU8zTAm5UPnDrb0fCkIVFUYEwHa/r2D5OqjDBvdIeyeIj/4SSZDMQP4aK1NJnuqNHHnefm -NQ87FHujst++deGNq1SggdcWTiF+K7C1ANnmPSgTaun1B1PyeccqOqZqZwk1JoAuo8yL+xKdWlA9 -HjKJaL1yKNgSrdcwbweomPrpdhfQY1SfzF1ZWVHw53mOJxjEzWEwP13afWlctA5LXk/vMGM/39V9 -hvTP2Acm1CziW/P01H2xvU1L5+LSBwzFwNjJHyuU+pnLLAAOlatd6iFFwxV3L/qnM3/nUQx78OS6 -rgAPl+8wNPwUq4oAAnxg0uMgfOAiavhzWS/JlytDaAo20TFCti1dRAmWDdYQoaqVH1uMO0NIQLqz -t0rkAFx7IGT970Vs8FexB0042yTuWmsutWWmAgrS9tx/G42H2h+R5hqidfmT41ftvqTX9jdeLOy+ -ndtSC1YQV32D0P2o9IGHYCp/i+CPThmTVeq7rao8kws0Ozmk/1s9OY2JnWHzXzfIlMHw9/EIT7tr -dGX4mJAo3uelxN+PiuP06u/+oX9c6GzkeFsQdssmOtCnyH1VwMvCdsYFvg3JPMtXwH5M0lYQ2+BF -eYNZ3rA1pzGR62Wp6eqEhTfjFNu8l0gKI0+1WCH8izlNvJx1uby0DQN6IMjHQh0kkTdPz8c0KuHu -LKJqIc2uVEYEXZEmDK42p9YI7oOX6r/2SEa5Gl44mzbO1Ecu3mM/Y60anKf5Us+DvhGdpeOX77gx -0ImDjbi8qU9HcK0bkKy/jcS4safb8jJOGV0SJwXrtRIHZuhQMc1p+dMfqgdcPurxTmXn97BL1aRq -DTdDq5xlurdE+4tcF7ivLncCLK8Dy/UBW1pSz7cSVH5QZ1EhvgNlSZV5jmFdECkylmd4otStNhuM -Ha0eAZHXr6S/tsjZYs5uQVl+phOJGm4E2gk0tg0lH95xDdrCQXCiDTiXekAXPvL0nN6+Ud8z29x/ -y3ELSz8QwUKEnpmJAfDlZNynvC5pPHKSND+jf9XcvGy592yWwz6KTnFeUj6CcCe57vftG6SfLBfT -38Wa1aRP2RVpFbgyKqGjNfqCOoRF9P7nQtQYYWAlv6XQq73K1LYN4+Bzdmsdl98h/I9PNREq6Br6 -I++8U2Fn5Rt4y7P7pKeFR901dbj67ZEgt/9hbkST7GgoRbil81ZZFuSwzHxWEOPt3jXL+M3/SwGB -ZuvVGZUjzy1E3O1f1WP7F4SSS8qHEY1d+KaoSe/3y9ZuFFwFIkEBwbMJdlmDsbEDc81PdbhekjLd -WEi0jHUQTMrZuP0NFZfCiHZd+6ruRFhrsLciSULucWcDlvUJXVLz38j/owQcmJefUfyRmADlWn7I -9LX1VkaCkSAY7OC4OS7TGljuaJTvV3YsoIzFlo7gtfTVLFrqpKb9zNa/jc//mb5LppTF2A2LKcdP -9lhyay6O5dGHvMgjtiSE+dGlfY1flRf/rDY5D+ssdsF1y4iOjWA2PH5tz2TS7Hcdv05Wb/lWDR3E -9jHymCY0wiga7+PImliBFefXC4L+Dk3AyAwKOsWOTLms6TmrZWxKj68PzgI3+nhE9IYtWBEYlx0q -dSKheXB15mkUHX/chNhPJzNxj3meeUPKW+MbwgTGINmY8dfw3jIcsOKmz0Y+FbHHtGNOsuThOump -DS9e0fz/enOK1yRCoBlB5BTIVGyLXJ7ukCUXHtZHPSTk10OpdDz2znIKi/QUw1CjgBD6gaDM9ykq -NPtae5lDjbqZhIBsaBEpeeWVIyZ07nnbosn6Wl1ivSq2uv53DOUFnVf3BIRFDnWBc/IGUo2uBzI/ -raO8PDxhqtKLaokKfxgcetBITJnPxC/qrAxLGqIXLRmoB7lglKfXWGaXvd2M4lLBLFnzDDhgd94J -+DlHMejgSmLBADByD1ieEKGG86rbb1/D82ouA9oVDPu7EiR7q23CWP5pmv/XFiRlahXTuNnzUr9U -OSsynf9iNY+Nfxm2ALmP+YuQR3rOqO7kYrCM8F44NLDmxaWxJgmrEo60p/Eyzac/248DwAuqugWL -ONLH3ry8FePcXEOOAGDgzbGPR+dSOZqIyAVBJ7Cm5OAxUqXnUcSwaRLaG6xd/G0OHNxAVqknZ30B -bC7dDqjx72woaZ8uQ0jfGKq4ZG6zWR98eakbd9rT6fiek9NSo5DC2hrB/ScEYzKn2C3a1NJDWnxl -lPCYxC+zIgdbVWO92MY+82S6hqH/V4F06W46o324p4GZMC8SqBadkld0Gji4Ckm5JirA/TU13EzI -F0FkDyw6hy5qPKgkLjJx9Jn1xSefp9DGEtOL3cEkmm42cuytfn0uy5e7Xxwk/K1mdQc5duUhJznn -0MwhPvW5K2ehm8hVDLje7YMHpA+FhbaPfMK7RqWjQmFtDU4N6koqHIkVgp4zeJfSj3ER++zfiwji -qnMA10YCfJczIi6FQAUTS1OAvOInArSbexrUKlUl9UtgpU/6Req0F7/cyxqAFDZl69rAn9m60c/K -lJTh65/yj8cZNiq43agzs3SmjbITdTMC/buoGiO2vIpR1vAWIQQk5yA8EhQZKMZlfjmbI1j33+ab -cBgQS31AqkpqlUyOfyQ320m0m8YfalOxa4W284hg10kOb0dQIbphaftt1kK8cFNw1AoAcgtr30Md -vXenZ4yijlO8ZlA0bUMMSIddxoXoRy7hwTqLO9/SOcG0sJhzVOXvGkAL/7wbL2kVv+rKc2FhmHod -MmLoxrkCHDa/70/X2svUP4gL+6ZOxL32072V8/Coqg+mL29kS3KqYtwJ/KfVbh5MjhM9KpnFE0Sy -KvQgC/pTC+SxH87K06aIKph5wPodONc20HFkznZn04FEGAR4zz7UeqJqqLg7IW1Cc+GH08bahaEG -XHJYmp/+VLKfAP9iXQLcaQpj/zLKJMjZyuD5i6khU20vod6Odk8EeWDfV/WWtS2PJmrRUTqoh/hJ -LwwjwTmV01/3Oagaw5SJP4l1qWDOUqIOV6EY/t27hV5ORrQNB+n4TDGwUsOJI+LsJzw4UwTqu+mr -Lortd6UkhFyG9xQts2QBDGuIAVCRbinccL9NaCf7XQaF6AtA6qJ2o3PLaf0DwBJf8Jyn0xrWro37 -zDl7YGXUDiEQK8T++lq/ywOrpZp74hN5lGCrtrDw/BroLFDGO6sEdbDpRQ72UyfVqvvRQCI5rh0l -eh+iAuN7ILeGbMW4p8FoGWweZJnaT2cqQRrdL1quEXRnuK6p/wNcVA96wR2Rd2aNpicJ9nZ1stJN -m1IDaRJo+UKGvAUz7HvelL/8toRJl9FUJjZdmIu3C6nPXOWK/5IjMKfufs6B9VGwKjdjskB7JcWb -cK/Wik9XLVdXFUh2vIK7qjpmVjQQ29yUxH8o42WVTtEXsbPn5ez6HimPMcnpSOvBKdlJbXv+/sGT -VMMniISYgdcfMdXXafY8BgdyRMEwIs2YrTt2f6RhvEynQBF/IFZFOSAWhuEIs7HOLD42zmU0lj3Q -gni5kuJe+I4yVVRiikzF9iv3bFmKDxg0uCLtqVEFdbUm/Oua+d3AMDIJFgqvfO+7+7K+HZnlu4s+ -yY1eVMyQb3DlQYOmcIyKeHJomgM1Lg1Nt0BOvTxrEQir9M8DMBbHbgeRTdEIUwOK8pD13j0zPTLD -7GPaTorFVQznLPpcZiDtfKFy8rERhgeeY6sHDDMXhtlk42sEsuJoCnk2Sl2CLminBhmGF/l46A5L -jhK2KVOYCB8prHHdcQ7HYHXgG3arEqaNn5ETpBde1lOtKUxFNMNK+O3ckUXzyPBmpuVQIc6gvv6s -CLi1q6EHMlb8b1eOdqEtuFH5iRvnu6BJk8cXZ0v0AA5yOx/Y/pJopwtalwHAJOpFqwwVV6PpuAXg -RFqZ10yUfqVyBpvjixlJJvvlo5mt85d5ewPBS2882rsrPnrzy+UlOlC6ZTiDM3vAi0eqFFz7ePme -YONcBqhA6LpvbdjW9vG9Pm9ywGhmQJ8VVniq91JDmzjNMpvSSHuPjOCYTcX4ZDAocR2XJeyLGDnH -i0KvzLN5klyEQ3xbM0Fvrw2MV5KGD8YwId8RxWS4mOE4t6GDM9iaWj44n+O8GqXgYt3zH8iRBts5 -JfhzHEUCct+mEg9NmQIpOOBQAL+TFnCHzYjeuhCsbym21PnTSSV/uH48HvZVv4+599mVn7Qhe7zR -Tm1AmW8xEMkgpBO9EinrzV0GG+Wl07KKpncUSn0H69zIB5mlr2bhPtZQ825gkCZp2bsTB7Y5p4M+ -D5VcD3l93xBhHJHRXIf0XQ6gWSV+0khqZWp+H2ozTrmi1qmEDvjDCU25T4wwhdWCTQ86M5sw9Tko -SoNmEzVWBQboVof2Vq4U7b0y61mL+pUDX7IyoD+NGoWFKWVzR/IDOtCd7A+yNumjuJZKl8DXjckS -Fpt/wS4W3SetqKFdJgd1akzEcXODqfLIXbPq6UEoRmjL8yw2vLUVLPz2tHwqLNy90KZ4CdtT3nJN -hhh7cBExaseraQrE/EAgn2T/4KUXGobnYB+4eFIVJncxwB31PQeRfOrkp2HpOdpwLmCCaSU1UjME -sMYbia2JGuuPYUt641FgjgXE/ZArFIEKxiKbLVWiCcVixE5DTF/et3bI4xCAIc0fYZ1v0LTz9eTR -OimLwms5JUgTLYpzZ4ydCDM30403738uJWfNw29ad1ViuyyFA2n4/MGKRJq2Gu2vGpibb2rExkpR -oNmveVFDfRtOD92hjCrdG2OZ2ucSPKvBO3wSzPJOEfqPD0J6jw6KCA0cz8A4E5DJdusKE9d8u8e6 -hLMkaQbLxLEzOV2CGg3p7KPT/iMtAn4xoWMhXlbgyEDd4V8Wb3aova7h/DdU6oU8O1BCtDIfcgAz -PSqbI+zlAEplcAJfW+GjZEk1AYBDGhCp5y0bFFQuWQaWs6IASijyziippqAxF+ByOo3M/Tz8auw9 -iiaS8Wn3M5o/KkO1ruiJGzOj9+PKA3aQpO1jb62yr5qMhIsv1JN0s3TI6NyxAKAcm1e3GPi1TeGV -KmOEg+IoRnJL0R2jTchMTA/G4irYcCnpno8fv8HfDHC3OLnJY2Fg0+9OnkutUKS2GnWxOh4UMGda -Uy0/t/34+Y3HcmkqYXtKJk7A880IvZK8BpE6PXSnx/xL6PC4XivKSZ3fGsgOwb1eA1B7Ii/Un1Xl -KToRFchjQxKSl+K8MtiTPe19jlQo9wxHyuIJcyIFzeuJORZ8uycn+si3xbcN7GUoNz1Dia+MPEiY -5oNYD3v0ty6XA4+AELDwhAB9KCIqS7d0n1zbvjguoNY/NjYMyJRGXEauEA73oQaijPfTib6D1pd1 -2d06qj9JKlxCizdGeocFWpoAJUsm4ajTf8LtbbPVCQUQ2/63LRVLnxfE16g9e/8DVnzmVem1FZ38 -5DUzKOnLzGdSbhtCXGcCU8OEPFQ6rOeN7sLVTjPbJOH0pgOqR1WD/1vZiliajk9VWOrQWSZ5cNh7 -43TjJuTH+dfJiG8DxIkMkkE2SYr4KWOLXZRVqltjCUIJglr+ugzdjBtx8Y5l/8tCFOeAq625rV0h -6KEHTHZTCOEyG+Oe2Nt0z4C5n+VQRgfIceLwiW24Maat/FojfE6JiOhlHE1LDzLIZJUTI5ZXg79h -RNibMLpZJ7BlQE0QeFVMIibSpuYbt970mquWqk/Zuu6C0rxEik0CJPIgVP6aqEE0KCcB1xXq+Nq+ -dgBSrLlOHaITbJs5s6m3V/WmBD+QMxQ/ug+9fQrwogKUMkcz8GTkSPeW3XDwQX2tJqQXvIeeTL/N -zefCpcmXry0eWiINlRgyQZjB9t6uTHp9cpBJke0v6pS2JZcGuFZ3ELJcYkoNGS0mR0RgmltHG3sn -LxvZmGczorBuZpY1EXwRVQEjUz11dc5WZe/jVRlQmDMkSPWVRSJMDXLIh35GC9vCrTfTUpM/dcd5 -kp67lvbFJnfv6juLvLWTtraRlnjG49Hsjes7iB9sw+/h4XK0uwaYTc/4r7eBaTikCzu0D9BANaQT -RhtEiMU0mx2LoD+nvcrGGxq9gT/bEtx2L213p2AL9d7FYZL3W6p49y73R5ZRNzfAhZDNX2n+X90A -UuFul0gJdvoLWHDF0gQGV/dH8mdHcCZSlENSKJBn59dcrzDd7xDwYxeNtUrPp7baL7la1nn2cUVZ -U9kKMFP+SXx6sHHGhTac+Hxb+mesVTsMvZ/cACy2Pk8/nJr8hrabvYAfmxfSBN4Vh5tFXHBqh/DU -RF39VtsAloCiFz5FmtID2mHLbdXfmnGlgQJRz8Q2qeJC+7e6i/PBu+o0vCp8IIneecbzHAbIMSYz -KoK4EhNSGjgqREpU+/Cx3Fdv2aU9lNfywiMHYQ4TPpUoOY8LCahq3SSGC/84rx4ZdBL9bhgFiGEb -KYK7IbL8L3RiIR5Vc8cUVLGy6wACsueZs/O9PGJ1xB8d25fdbFu1YIGqk1Zk+qr4iJff3bzYCCvu -i2g8Ka1RZZv6Ddm5OIYLabN7mqMyvya70r2HPgoWKvrS1qEecO7fuLP2YTa+ldpBn8aA0vnvpo+7 -2XUQHWV6PhUCYm/trDm3WeRmNqJIg4I9jbcfnnz6SoSIkiLKL98InHlyvu4ZdxFjCftMWbQeNlA6 -z7kwablwZw4r5ikrmrXibD+GxDERiEbskCCY4eWgdcuX69CG5V/wMgYq5mqj0+1vQ7jyjNJ9vsSD -tChODyd1supbwP1ZkryBZpLeZpu6we3prW5l4u2GUjUtbJsoiM/nWsCiA/hNkjzdIxzaupctWtmq -nrSwTnFPwLsULB5l1S6GRxUOinOLsdTO9eJrTsOOowOpf2F6lttbCiR1sw8Ith+n70G96vPlEhI8 -yOJ66OhO6D1XVrsD7TtbM/xq6zt1OewrHE1fga6wKIL4PTkoZwHYOODQEWbyOPDgvrl+yxLyxQGD -J75GKENcFCXbEunS6OA/WOOHmvlNDJgGBQzjn4m0PuQ2plKAJose7n79HMDTH2wmqILWl8uLxo4j -sq3NpitjZEOyQ26hfgQsw+Oco3jv+rcHUCrFcAxep2AormGAswEJ+cN6rFNl4oreu1lqgWdiwtux -GgFZJoDg2OuU1JttSCNRx3h27J3nkgeT8IaYc/0PfcCCtHm6zQvq+Wm/c0VirNritCTbjLlw2Iww -yvAUpw35+DwP00/OYy2+TmXbFrBXIE1IHsbbpXk9MUgEKM5mjEJSwYFox3K6bk7wcl4OUNYJ+Q+F -qOTkW5FQHeuic1U8Z51dVGOZmxyAP1hFCRcsKjZZ3R+WaEB2VN3TotjQblvFLviadZXkTNiyst8f -AS/eW1R0DLTqY9tnLZrpgIQbxC4Ewf9LPI1RtNXoW8rluB/MdFkb870/JTSDFtkJi/usFGe8zpwJ -KlQf2SRezRi4RbPRMsRqx1kg4AlX2CBAbTvmvwCc6aA4JpzhH6X3Bn3xAX2odbMpa6vcMYclnPXZ -4/18IfAMapTaFZmDlWNI+uiiDkHbt9tACp9+Cv55Na44M2kAYUKmANwf91QJnKmzVdOY7MrXQJT4 -29uYFP09AqDDXfGm2k9z+1CN/jkYYnICP9cU+8T62du2J9BXiCefoHqyC/hJWCU0abK2ft99kDM9 -y5h3rNTswF3MS6cGGs/mnESFvA03LRkl7NSgpcnXlS960AXXmCT7yzwyaK0IPBycu097yRlOvJkM -g5+Il7ev2ZfW0+LFjetaVWI6akj/h46RVbmTdGVdNILH2/gt5SPTmwAeLMqDyb1CiEqOxP8vfO9N -pZfLwbyFoHb5HE5b2dYPRNHzEL4spKJ96EPyRljRkPC8hJo3SVV0Ldv4eA7R7Ch0y8jBYupLQuu5 -k3fY1gD8hSWzXaE1RiwvWJ4/HnQlVd3f65XtI1mri5jEzB7pv7wvpeA3TL/xVeIt6+IjQKAJdUzM -d+3TfaV5+weUH2dU6IK9FKHiE2DUG2CGe60GZxLDw15vVx9cofdLAFmr7e1ZcW3quob1819ee9++ -wG94+zXXVgf4nKD+sCLSWoUYkQNlBHYxJZiczTc+wRWweLA929DJMp+2q1sxyVuJtnU2c+gHwOoc -8mxGmijYR+nVfSqUEinxWYucG1QjajF66ZU1bv6HNJrF4r629ghVB/Pum2SfelLllqGfZny5rpC5 -MAVzC7iSJHaar7uN37XBsOQqZ/SdlvPPUzMQiPNiFidtyEP30uEGEuOSPiWKUEWHDTxaaRz0ey9S -UumpWUZbgIHU6jNjlcTzFTBo0gWxGqYdF18o/cQT9atdgbYAflUs0lO0g9IN+/HIpukJuX0XpBOw -RuFXuC//8ucJ/0KW3vNWrMRiqvrabUAMuRL4YLCfnEeh/16dHwWxGAkmjKP+BuUOFHWdn9q3ByIp -O9AR9LZgDYhz28O7e5vHvUPl5vlvdrVZAHrweHdbEHRdljx2GSSAkhIDhPT9JVh4fWJ0XvNELZ7U -rMmxAe6PTKVha6vM8HgJC16YPWeg/1AXsJ9550P61XlWrlltXUFeUVUFNBtmSIFr0sED4CDvnOIl -3GZzFNE4xbnK5VfJm3xWVs2yxdwBue9vP3VG6iGsFMSWcjMgDYvs3RDC+Z+bgnC8uVtg1cQkjSsu -Ur2kmiZUKId2b2wvxp2wdVTl3xRKF0TXSKyVSWCAwai5Mbjb1TcOrzlcNhMCS7u0hh7WnWLlnpW7 -t/A9GS0ACNmn3AS/G5sDEQK6IZ3YAkM9xdJHXHDdiHWxz9/gZTqG+yygXcUV1vYaBYwdQBFlcgQQ -pKApmLETpWxV7gyfZHOuAtuZ1fryGdrlqg3AquLJwHPGfSg4CclbfdqZUzJ4rPKOC/IiQEJ3NFCW -kH/Smu2w7Jv7AxxJY2vaw0CQ244SKdAteJASeXIkj0azZtQ+mwMVRRMuvu1fqGk+CtIvZ+wO7T7q -QlMfpIe+Vwke/igPua3sjCLF2WmO893WEVKrLYfnD8h9DrQPwOaKOhoElw6Dw1XuNI7TDyyYaqYl -9sUxKGqRXGRdNnKwOJBi5F8b7lZTFGSW5T7eIe3/t3HFjUmY+bPcku5ryt3g54B2U1EyBIATjWdE -SbkI84A0O8XqQccrFWlABy4169P7kkZTb0E4DUy8ijiyZxbFIthxzu0prVq0HPyGxbuQAcJpOYwL -2D7OecwZFTIsd94S07O7YpFEdr9c0uYzSqsHXpJ9JNX8lQ7545SwBXv+e3Kxmlt/Vn25JDjJZhCS -EfAR03FbWGpgCXaH5dmuWsQjOWQjSL3Otx3NJbO6nr945zO7j9vxw7oRHsB1OX2A6xiRe5pMXmPD -xJ/fvN3rZBPRc/I2vMJ6g/5w1G1jSiLOc3MI2gtzoDDDAdxoFt+f9t+Gy3dwGKbTNXaMwIvRduLK -ZRhgRVgYPSn/50PuNGzXEIQVRJzj4dK0b7EpBThtK1jan7SBRtlBenTedzMolJI1TJznUJ0/bcCi -eFzKjTHRPT9d9BgdVF2HFJqakyPhraLxgbJP1S/rMBK/W/Ugs9G+beqbwkKiGi5ANSabeD0LFeai -+QP6MDI2EVfY9W4Ftpco0XvuXV2fSbHpUdE5pLgQ8jSqyfnYsCHa8gzixVcdWuRfgKVO03q8VrDy -sRRbqbBGZhAPlnpnMIic5XMtvL3aPbp3UKaZ4PMTTvJ9whBk/bph/sYtQ8CJ6mNpM7S5Bn3RohX6 -io+Bb1XgZpWEBTBtVzjo3zn7mZXbo5BhTgqD6YtkQkvso3kabuOBoudZQjWhLh+T+a3okEXeS6Xr -iksQiSJERjFlIeiCgjOTEb1lbcM8VFtY1BRzYT/n/dLjerddjSfKfk8UaxQ0L0/BieqvZbPoWyfA -LuPO2B6taNPDf3EEb+svbywH3aX9p+JlmSiQeVn/cZE14bBsudrGVkHuwN6Gsj1Ud2EFYJiSfV2y -Fxx04A6ULkeXFpnNxuZs4pFy2djnAIHk4pDVRZOFo2JuePBwjkKPjeRnsVPCoVlsKocfEZZPR4/N -JrOPjSAF+MkoDXhLKR7snzMlWUVV/oCvv2x/tGKprmNwI7WuW2n9XjE4Z92f57aly7ConMi3QAlv -7bBBusWxtstCzjsBwW1xXlBom21keSMnjQNP/jqB2qK307rqXIYcmx9xGPqnl47NolLb+EjZd1GF -4NK+NLAho8pQ7yXqmoIlYn9Xo0jNczPMciKYzCGuNqpy/s37EsCKo+Ifp3M1Tib2GQfHyr0S0ZTv -oTco/YurZlogzna1UMOm7kTQ1ayjM7V5cedtiIYQewUR08yVH7x6fe1vbkrqnkJ0DboQ23gKMNbT -g8ZEbq2n2VCpPF8/+uIxUO0tG2kDiNOU7aWIjbi3uVhpxccKPPZevySUfl7nQDvqCbhdJ3u66IDx -JRshvMBibBCX4b27mOc76I16VBVOJkH+crAI3G+TWjFTZ9c4NMrW4h4ONDZQUJgCX7H1Ua0HNkZ2 -Lwt5sFlKJXv4rZn67qZEIuCIG9GlZXGrehoiu63LyttL5emtOOkkI9zLsEbujjWyv4g/tsYMTcCI -SYWx+XENnwQ68KGinEzviW499JWlT2dOBkzsNZ8uFMH6mwaD5NEWpyynpGcvRBNApBgqjmxHWL8Z -4H0TKo456UogMaF9z/8Hug/GVTWf6fHgxO7gew/bfXtpNM3q7853uQ9qJ4B1e+s2KJvyQ186vG2h -OV1aEkpUuHoiQcvOnrtWzLVqrk0SDkAkVbYXmpJvpRa+rUy6lfOnWl+GqxeDJZnIRl26hL+EXCAc -URL/QpOVWf09tJJBlVmyudU0d53spTrBtUUseok7kIM8eTZ+3mcD9l9Y4sXRrgX1cZx90+r0E/8V -lOBJjZDHyx67FvvK89S+YCGTgO0doj5Zcgr/TCDVmiMu+BBw3JTZOD2dVhnUTum7v2e7qj5rg08R -fILrZwYIUZgrC7pOAd9ydMaNXOKFRUs41ZjgLnaxtRkiy+yYB7i88qpgZu6/MWk9ou0pToXz90jL -a8jeI7Jiej4SRBR+Rh4hKzcFGpfH/L+Psb72PH61T/frSKxQiqs1TpoO8rOIERDwxwOBM/bflMTB -YeUvqDaSMZnFzla4xDMmPONgv90Nh68vcaNRc4EpyG4mK3GiGntV+Z1lkeVijl4KH4z6xjmspC+J -8o3X377MJu2AagcKxBLTIcTBvIpRHPqIXRHzV0m83iuLFfYqTc5B6/mOyV7utL24UjtFmq0AV1TS -g1iF4lTs1hHX/rlD09/dH677xm0hvjR3GbmfUNM5HqBpYJjI94WD8FrpGJrnrJcuDAO2b3HCjW3V -A3TZAHp5nXN9uQX7OHpFZ8mDrfjTb7aLKWcMX0PbcoC6+ZMa/MMyUx5peCdt4e6bNTLx/5G3GanZ -5mnJ0Kps7EICxoDOhGlbpTgEF9cmU0La1oENqeatD6588kM0WrhFjCRKThcSI3yVS5nJSNcvylnJ -JjwSbqStvD+zHWc8ev0u4iPhX9cjbakrzkArV7RssKHFxze0CT3q7767hYn/OnuhTtnDStOSWAjf -EI4X5DR6+9onMlafqdKqnGqpWckrCUGf2XSyFIBvdq1zfl6qcvQ/qZ0CKHTgHJyK2ULf89riF613 -fKeTQwYrgmhRmdzjRKEDUkWPhEbNwzzluDh9vc/uU0pyiiJobA5dAaPUj3hprzM1VU15X6mt5qg9 -0SRHqHg+P8PH+0s7rufTl5r9uSTzJlNvS3SG8GU4wEaPCjLrH/R2b5cxX/U13Xr7iW2tsQEZreBX -CEDKxr6YRALZKIUIyLHpCsKSu/uWpMUIfJstrndurNbhe8QrN6SKx3SYYBaLGssHowSUZXhnBdB1 -vPGu7C3kxhZqog0O/NcuBlF6a+mMLNg4MLEda0Q2z4sbdQz2zvN5DZAxTWc1AtUc/f/NpHa+s71c -F5HehNQfdJLrwXe3o5LznnNS3B8BEEmkp3eQycS5iCnW7DylrEeWLiNn2OufKxFUdOGYkNfKmYds -VMVovVAA/C6yGZTsVauhLuYO6oNDlevIj0GtBjs8CyXGIIrrCGo04rtxsJDO/RLoE8ipHwxzttbE -Ruewpxb0UABcsMKQdk+Qiizy5lsAIbzGnos65h/vjoPPIUVQeCjI5wVHHtmjVYyuG5/7CNhMFD/s -fccPpyvMk3z4HaDGkFHjKrzqOz1Esb5UfQoLiEOX7kPgzJM5U2cbYQ1A0BzvDIihaRQ+xpuuZPui -iNbxSqgMgvAKM6tyICUyeti94RaBH8WY7DbcPVHOHsnPVO8hXhOjAwStijQIVwQpLA+MyICM/hWs -1olYhtm08Lg30o14Iq03+8jxc6RVE2rM7D7p3Siq+ZOOGdRgmXOSXadB3sFXX8afPHWkANIYucb9 -KhkIiAgDhZl4RemX/D/VJJeMYOS0wnPLrRfRVtBAKs+yPLb50VO8vE0d/uxotseMSuYz+vP3X0R+ -sVByGt39HIA41NIwF91WjB01akYE6oSEyq+NM22SHVqJUNZOnJ1DoBf+4o3rdGkO5D5qMk8axCH7 -/nWB1RwBv/1JPejAMOP9zG+sD6axXh1s1aJVHD4yigSgJnFfXoDDtV8SFJDaXogow/VVRaZE55WF -V+z/VlGFdaiw1WqhTxso2sVzC0ANMVkl8FdjQfIZR5mNj+uEZafMFTnK+XGBg3AO4FB53inxyCxz -IZyIr6qUEqPgYhWwS9SI7gonBFtlm6GwsJCDYRSFTnSIcnNIOfMA2clUelheEIb6P6FK0x4xEEdW -JMwRZmkw07i3vu7iRWghNmGkNExLK3/yuxgVqe6lxgCrlug91Wnf/+1ur2CxA1VsQz4Uw3hb+fRa -wt2QUmBctBoaGagxv/YvQKPxkiOC7KHQzmElS7Yc5V9Dbfd8ka8vJg2Lnd5GjM1k6g6GSwGKsWo2 -9+XyM9D4FaCaVOc5xEj+H6vYdQPgDLAa7zy9/oKkK5D89znaWvtvfUrU1+MjjT5i8iJNQpuDEIeS -zCQ05Fs9izHrR6KmQFhhK6fFjaNz2qB720S3g7lfgQGf8U/t+JEde8J5e45Bu6FfX6WfLb6ob92+ -eXbGbsbE313XH3cpuHv96KWYVBgABN8ugSelFTsJkbH7bMvCmrzWqccMPtdy2GRUIfmoJQEwNm9I -bKUgMnJ6CcKOmreeYY+KX/lE8rio9qw4CFKVImQLRbSNWzvCgYfLUQ0AZq1EB0n0AzVdUgBwCY34 -fAMClAIe9XLdJwHF7aMya9gecq8Fenoag1wCjoqgXj6P/Anvc4DQijJD3n0AMvuQ3q2tzwjWAuxe -UmtM2ZT6/Ixb4EFMJcA8Kzn3juNp6ABW8p4zhWcgH244FE94jRfBhIT1TkTYG3/PWh3W09o337Ep -q1RvyGdWBa3WzNuif8iTLlNNDWFI+tFuJzLP2hjnJo4Mh/Vf4BjYSi7bqlW5AQl61iLf7z8dWYTx -/JMfQ6PCjL28xsyhhTU//oB4Dazr9F37iWKbdOjYLnUzUTF6QVDIVbtX+2nG1Y+yQgrKicV1drMW -0iHlaBpqqx3YwYKJ2WiQBOM1cPeCJTQya1W9R73hpHBdKKl4qUkYjhOqnbRGQ2CcrJjTVkqML0Mb -mPcwamAmt5Jc2WfF43mIf59ef6bhvu/tF1QB3KGlXoZjxB9bXUwMPoBEz9UgfH5f7N88sKahT2Fe -BIlYwtjcf6Ckz9ApmQ2Uu2EZWZA+NUipOMr6/Xg+vgznenny/wo0q+xaUtPjT+GSKZta6+OczXm0 -xgllmwogF/4J8v/10cKeJvBFwG1T/A2eyPbekiZDJQcq1ws7l5dUS1yHtzV2FYQ9aO2pWS6souJp -bniLwVg4TlpuKVsDN92N7hTij+HZJs44arYWAMf0zuGClgakEwvjygsiXlotNVrY7TQD4bgYbyPH -CgyD7hG2Ka0Edouorql9WX1h80gN+Vjd94oXW7MhKXjqKE71hp5n2mZfiRKIkQf4k1+fSl9vJGcN -zqYg/erwaq4uTFnYIOXsUbDEGe8O9rg6FhQszl0EUEimPvNLEcmKEWjwfo0rN6w0w2OLi+CCo1w/ -MSYbWdAxrm4qvh3WdyHU1JVhRuAq3hR0tX74PXssBnw7IT0zItnjN4/dqKsKqSxDqVzL7tfckyA4 -8XzDbRvwctVfYfXoq+Bm2NDZa9flJHi67VEHi7moZBSVjWOqVI/TQN/HwaZmkM60IQYvx3h6Wqd7 -mBzWty+fQWym9pfno2w5giiNW4FUYxCffMguRB4NwD9rHSKccoATQO6gdhq74wgKtet92b4PYH2k -Nq+sFIJF+F+8zoxh6GISb5nEq01HSaXPVHitXKgLHFbGOmz7NUkMjQlArEA8RPX6n3WanHNrYGEU -zMkK6kkvwpTuYzX+Jdmmls7Qkh4qF1/TZj1adXpUZ0k/sCKnx70HC0EOAyA/6e+/klYlwtBgvkvM -NxITmFYRn6YcsNe7DSPg2H82sM1bwP4ML0b4GCo/SdhEFfHnvOxBq56DdsO1vASEYxDgO+TEWjJU -+mJuW8BKquna8YGIa76E5a2t5dBZD7jWzSrK1V0AMinocgt5LM8Nei2iNSAxOBUKJauInu2CHSDF -6Nu43q03XdRmy5gXp70lBeZ6K+ihmSV98GnntMKGG+j0ojx/8zlQFlEO8A2+h4klEyaojInn6vhR -rP2OxFqxquj+EMu82gDnO62Aja0UQKpp0zaOq67xJolOtDi2kg/JW7ldrKGGWUfnQfsORmxPxpvU -Chbmm1VBlU6/aKjYIw3v752r02VqrYRik83R/lsAMGuP8K8HqAI6vQIE2NF3sye08zHoM/c8JXxe -7tu3aYMJer+jVeaQfyIfg34AZk6W9qvcGqTVGb3sqstaflefm0jiFs2k4Zh3sTcbGgLPkodDT3o3 -lTLaWnQ6stPmbh1p1FvKpbR9jeN7r2AoWnOPC5GCsMcnDT1ULfVYOQ2iCAyWmc5o4uYL4NIbmTYe -B4a66TcljbQhTTJC9hnyeETSsBjS0QK3RZYIqkZdRdO7gw2EKNgUR+R5IW86fg/IWo3jWJKz8jIP -EMliltA9NhFAzgcBke6w9WWlm7xRPJ1zt3DqmtPoerTG2wXiETaPDGFzqz4R7ZdBJMFTxQWYWMQq -Qw9ov0022ISMfi9Cz/iUMrlp79ixKtlaCPcjBqjekR4nP99b5jntvEcCl/JInWsfF1xffCRtIdMQ -ox/fhkvPMF4E2OlBKOi+Yh7iipH8oloQkZYxGeGhfwbPHyRhG8Vdqser/Zs2d6WuJviL3OoYaRuT -/8HSdZEyyDMr9tIcLPyMkSBUZu5VLJ9xaiHdLXg13PJJA/LMk1Pw7AMhECX9Fc5vnAgSSUYk4vs4 -TUdAyLUf0AL3QCnnf1EIxYoz1PuUylRcCjAFw7jPZACUb97hGvnSLQ/URyuocF4BIFIsaE9WSOwd -gTj0PW16iEY0/fUL7uEI8Lx2VI+9Rn0uk6odgXjna0WxOKuU/Rvp2JmLH7HGgfzovrgpsaraZb31 -cF1Rea5FxfyPnNZsbEVnrjhiCt15CpOUeW5h5BYr2WfVFLrVQyllTEMyT1DRpbTPwlGyNC9zWkl0 -SC9TsGNv/qE6o+F0nSVP97kmWTa+WJ0rCt/1pYL621LnjE7xoCfXnE+f9TAm4+ybrBJqNqE/bYE5 -ZprLdY5recaYCuDlu8snteQYsEWaacIALW1JSEIy3zWniuE+64w3PJjZUBLGHyGLeVV1r2V5gPKs -zVVnh4o2X7irBSIc17CXvQF1l/SHxfEUT1xL2Z4ecgnrk4pm7GzrNFAaV62hAnOg319jjsnjfG4v -I5L9jSxf9yXF/cVjkasxZzBrzJiHaQ+y3rG/31WLZL9BCL9p1BS8Q+bRcvZkBLEExGr97KAuirbh -2wh1mfTUAACPzOCZJKs02w8n5yJzS1Mc1LwaPEBGrKnrNexZZdpu4yPt2O3EP2oYdMDajp74n/KN -fMavCJO0Q/iuouftYv9DMjIsR6l2UrroqU0trKuAVBuBtznFG8LnuaqJyBks9Mq6rOmYCiGT0MAW -maeW/qXW7l2/KqItYw9lNo7b+7VjfYsKzhfwff29/ksJWoJuUphPx3RWWHf0ZRwVZYAHqXXHWmUg -qLgko7ArE5GssfSB62oGxpQ8IEY9Y5+EG17g5ZEvlR75XjVstIukWO67IbgE97gK7inYBPL7bX2R -cgKFsBhx3M4RBESRG4/2JZ8FU/YLY8kHxzYwnBtWC5w1x0KM5kfqcqgdWp8XtTVpHF7zaoodr20z -OcUuikWsVPoVaMIS3dZSlY54j7FnfjvaKoBGkh+YEsQE6hmEyh9sCfGAAnyUlftAsimjUhX7HuwC -uinIz7W1fkqQan4GvT2LejTEtKmpRDXzGAIer1x7Bq+eYv/Gt/Ynaonwkrdmh4wcvREinq3ilX3/ -XppBSrfAIx8UiwYHlXDXTQ1S1sRb1OOGw59XFzbccOu2QwUzRGIZsc813J1mK0pMMJ5JA3INl5+o -T1CkzXgFZxihHqLtwuABlUDL9cXkcIwgZ95mQOH+s7NYRKQy002fLP/IQDq/FiTQbUEv+UXnfvdO -IJ4GCAeOlIIdvmp/liHHQ/jYIBcobbUR0+3O14OhLk3scGOV23N5dMlCyBWNQbENLZrsQdVjcYD+ -lEJY1yklZ2jj/hAKVZYY2HvPIMC44JYjmCa80u+321zFxQh7F9IIXqMd9CotaR+4RnpPrfCb1cjq -Csm5Lepe5iLKWr7BKZg6RlRqp+MBPRbp5Tai7DoM+rVK7DVRQgaVEq9U0jOFNgLW7xfUo1TX3/si -AFXy2y9Pvrbuw7Ru5z/nuIc7VqaOu38fYNj/3ENYzmgXUSU3TDmMMhHr+b1J4ar5jnswiIO07c3F -VM9YyM5FrJxSUPZ1qD6vJwknUlRJNpyZ2YWZua1wvIRbbCvAVXFSKOSBH7ZsvmYEuZjIoiCUIDQt -mar6sHZSlHz8zwcxjIoSt8UCb7phIqp05HUAE+QiV9cdOYeTPeQYMkxUbmKxWVd9FNLfkzVPTQYr -JJOx79KXCr16eKYzbDCZ7JMkh8OlxcmNbTF/ziAdnLriy/GgKL/ThRO8UibrPDfJ77F6SjPgvf4f -CITrgYewBrSCSGjEP15manvYCPBgyCJwzWR99+GIrknEgVU4Z1eXBwKHi6t1wVy2FfLh+WEWh5Z1 -At3o5ULzfvAd7rO4fPyayvjN6LNwXaFhjpRswcGGJRTTBakP6/dbtyTJNdJ4QxWDBqmkp0IdQiid -GWM9uDBMXV5b/wF1c783iXvMDaoNLQcUg37WijKgj2QAheeNZ0rtgWD2wlH4CZ1zRj4GBu9NncBU -dQs/MLReO7YSw6Z5byjX0Rl0gwGhY88qn4BmWwakhZo+xCj8O/oj9wtOjaK+HCV/+S0ICdpubZrV -gAHn/zYFFNM0B/pqb/8ak7dBA3A9uMNXtjo5f7JUzhh7If1wJxiLd2v6XOSPqnG/SisIklv+Hbb7 -nirEMsHcEDr2D1U1LL1p+Qb+UUnfaoA0lWdt/gFdhnR5bMkIwiEeHodpK0tFJV1moaJqIl4P8vJT -0CPomzNEWj3Oop/oyr06rb3B8z5nVcL2i6FsCUDmvgR4YB6EBSEBT3viBL0PLLGRo0G8E3B8cHzg -ncBVbn8SZG9u6fV7kKKm9zbo0rdCBo7cVBQGzjf6Eb/tlWJJHuqrsiAskFIKgdDdPazHQd6McSv+ -GWkn6PZjr18dIra/1aPPc3fe2G/KCoUElFGxtaJJzy40rcN6VE9OX0Op/x7vGoWXgjBGZRIXH7eM -znbH12P39MOTynWShE6aoX9mltwUkYzsBYZbay3nBR0BL4qF21LBoDNFSz6SwnNyFCHkzU3egfZK -9bMaz+b1fnUPEK9klKkW8YNdhStHgsVf1LLVEV0yU/fSPB2Wri2R5P9DUlFzNqaJ7+vH5UInuauK -i4U0ylse/9WygosTO6A4pLQrGrIx8xEeW7Fx8mHFteP0KRGp+fBHQaRT0c1VDjBOSAe++1AcTKMP -0ssUvZBRcLkE7St/zViRLuZyj2wE8iF1PI7ccCfuKx6RNJL1/ve40uVihC7SNjgHeDdZRGLdQ6oB -p5bnZezY2LfzVcplxUwl1d3J8ODlMqOZB7Gmd2EyLhQxFq6Dw65pNfHfCefRTRpKx7cy/rOQGrLU -KCZZQzSdv91yEIz4ZR4w7yLcjcnOUAxhWY5XTVDx6vRqpgBSlItWOFq/pxofE3qJQ1LgL5xJjF+W -/diXLvTbGz32f8Ak64sWoG9KhjlDtS7iaLDCbKr4k5pvRcczSQCTD0DTaxrFxnyPipfmEIQUgW0p -H4Ew0TmCRsKRXzkedF7xISvcN1HbSsinnNp0YdouMMXmqZxGrtkkRjlcqD5P2JuT+4xK940Y5/XJ -p4J7a+R7GXcw18IsOtUNpNGUU8iIRn1cUwXkh1qbkUTs0D8MO3cywrzISC+akqisGHQdXVciUrnf -vzGgAbs2AXxaf9rz9e6/qSrIl7XZbPq4pwof+p/sK57ECZfcA9EZwD2LFszI71VAnyj6uZp2Q2/4 -UIntTiZox/yRF2wmLb/9B2FqWUPXAYHa5cBIVnBC1vqUxC405bY8L0KdNSaRnuv6eVpM1IbsmEIK -4mZ9SDthvaP9ZNPMxpdq3dXZOdJJ9089U0aGn20t0aZy0CSRhT8MPv8FuV708vDxHPTYAJAvLLhi -6KcA9iD84jyWKZfPbKdd0Z3eRCHBVEq6nv+c11PvalqPaFCQ749MsiFZoi8kPiXnBogaV/gXWEMV -CD+vFSKD1Tq1EWu3wKi6YSGn14Ra/lVp9/XEaf/tb6ZK1cHBRn1kWvRfr+C47soR1MYsa5h9Hlzh -9T9mV6wMk/tQibFa+yASuuNBAzQ4X/cQMjLvriNl1+yB/s7VwuHjeqEKJW5m+jhWIqpZ5pHfxhJg -PnT+mIaQqmHrQvRBjTHudllS8bBskxvb065DCrkqBATzD2+SW0bJ7iOcDU91AnSqIk9vaN1sUggN -UwZzRBjGtTfzqJ+CmPx+ZqSEOnwiDlrwGA/SYBlcr+ptilbK2jd0sCRT2+cttP9S40Qpm9wvuiZR -1QLr9+aH4hYWEYep5XOq3Y37xMX/wj4EfgqHyG7Mnlhhxzt3z2lmAxH5ofv79ZvT4K4c3qmo+9N5 -f/sOihW/UPgdAdfi9A152sP86xbHVko06B9/3ijDtFZ84rrbWQkEYanEwhv22YpzFyQyrNn1Y4R8 -qqdTEy7bgMoaXlJ3Gic272HgOPyF95hBI5zqJWVENwzsvrRPdkUw8xOcbQHPw+lD7NFSd/+ZTTqW -qnKPyKbxGY5BMH5G7xHSEqUDEiK2r5gs208CjNJMieHXOhtBOklIRAq8tEGl7+iLQBZYv5w5CUlD -1gSr20vM1n97AyDNdP+uT1h7/4Br2kVPNOH6/HFC7a1FgwSq/+6+fWCdcUxrKcu8DM7b5BF1z4oS -z2ynoZKmFefqEZ7cNATdiHwlPDsMMPq4VGig6rl1qiIdTdcV2tk6/VQhxcFiKhnbjsgZQeVTiMb/ -BV4z+dd2uJOSwMXCeowdlv5RC6gDhZrmHfHPQDq6ae4rqpIxOK5PhLO1diU09r2K5lBhccAAO1J0 -84SZE1oEf2lERjNdsMPOLIz1xe8ZlP7wdauTDjSwxOIq94DpiU0vjASsSN42CrMOBTXUiEL8IVvA -8x0JolCcr3eGYadF3CD0Ig8Ib7xtZRowZDYZoAK2GgQOO3Cxb19LnEEcRn+Pt9GHBaYc7fbb/Ofh -kjAkzj65qaChu8+WVu2QGU2uzsdoNJ7P3VXgkbM1OF2rr3aAzuACrXSfnjvX9CaR11GV21Q/5ihh -rW81Orw9/h0u9kfD27qM6iuYBa4yimmIfDC5m4ysutuq2v30Srm/uGdsrN2qf9e2cDYPSxo33m2h -DWw32YUj8ETLIoyVjiVtzMv9NzZuv5F//RIQ3b5WQBNKbsvdsQYKeKLkzg8qt6JkvMkSeZptiwYv -Mw3GrF4cLqO3IBWzexU+twUrYdRC7j2qGJYHXreiKTBAS4M56WJ0pWdoAaukXmOiYtc9k9pkeg8N -mvLd4KjN+zbKJWcMdehhlx4UAvvBspi6R8Ppt5Bhsr80n0MIneh4IZir+dxkvFxNU+MuCjWfIum3 -nyhuqYE5Wfz4Jatr0wmih/jtokykJRJUyZkL6V/B+YeLWSx4e0xMrSWvk5DiGjR0BSrwZVkZEQ1y -CkQwt/va6RelLqHKK8JJES9LSgdxn5xjCZaNi+qGroWv1XXRBMYtQIp3zeTN5Bv8tI4DQ/PYqNf5 -FsiFMvfaN8CINdrl5fKwi05Gn/fqHxqm+r3xw6nq8oraMGEHWX7IVB5piI+96IW7y8nUsewMnRIB -AEnyb5Kgbi1g68jj07vyfNCL47CvhFYlQqAcrCdUpCy39nYEogB4cl2exbJqbWUXghmCR5Lz9hLS -FTz0zMSPZTZ3OWfVXRaXYIH2uLhQEpflWy14ZzKmWVsVwa5W1IZoloAG7Ag1wb/DAbzRZEhyJb0O -bv9p8//W43BLe0e1nq7umzG/T9AnyPQ1ag5aQrUq//QTBJAt0lBqry8GxicvZXIBwInVU4kX6JM7 -7DJz7oVGzNesigyWcfARgXnWz+01x8OQgFKIpSqfm/Jgz3W8tUTu+AUGnoFDx0HBXzTxBBkT44CA -370Et7QtrPrfo7tCtveS7WDX8Z7U0QmXUrIIEZqSwl+ejQ4LqVir3ZRwgZRPBgIV7Km31W28EGqy -BWl431JZ3U7FJlrH18A/ZSRV/StMeczDK8uT5XiwM1FwL5oxhaTJQiqDIf8zYQ5fUMyyf3RV87Em -gnKC6QWUpSETPJ92T3u2HWguSAuatFgyzSfd4qE2GpCC/Gdv8GtEu9N605yl2F9aJ77ZRyLOCTss -EKAk8RTdZQgqKtWKTGASqYpNKaSjYeFQ2OSydjEIPxL4AZTSOtyZDXJUFhhTX+g1fzZJ31pFoBFD -6bpmAKKQgVc6bFStFi6bR6FQQ1PTCbkWfk5mYQiVFBPhLa/YH3ba9QWU9d6bAQJr9uVSDNHybyZE -7yI8hLDczhF4E113+khiLLyjetHgF5DfYUF+6GIOiU4qvMXY2fjcrJJKbHXq50V+MrWzPcl6lnps -2ZoHVoxqTE65lUmXj81lcknV+JcImbYTGBDYTCZCZpR9IUqmuKfCwdTeaytIOxXyHRZ49BQZNymQ -L2g9f7sAH4zxOBqK+5pWonC9VdIMoThoThmLaoCsXejxzCo5tMjIFmSpFblclBHGWYCghW1cJUnA -22ux9V9bT6SWeXc7W9nNvBxZutqPw1VNt4BQ+Q08od5kBVIKpGES13KvFrrKRTnKtyEXXTqCiMQl -VirtYNFrNbNF8jt9lnLVtDIMYTEDmiqRUIqSdfg/AZU6mPCkDMR7wQCOt7dyylyL/gf+9OzvzlY5 -Z1IJaKbu20TW2ghqnnSSGyor6ELzecyvNhI+dQchEcjczwKH11ZRM3lWoBmLy2/8WyAyvCG0pC0t -gTze/P1xGDk529kjQgRcZoPICigrOrCBqVauU+hAG9xoPrZkCt2u6tg6FGlUKI5+o26bgzjfSHrT -yZXsSaempK21jqq1BhzVWZ5zGe3jgtgnmTiMaLzePkAjwSDptW6EFAbETHLaGtiacz8eB9BeoyPy -57rmVw1Kf8I8NqecIgkOKd8bIyzZXIKhSj0TpLvAeKdNmGGIjk/Un1o+PMgFF1ISs3t7xTjufw3M -EiBq7mGyohli+iu4hkrgyJSmpQJt8UAe62DCZ32SRtBoh1L6b+5pp51IzRe8Ca93+p74npsed+Tm -y/GNhoHRn3LgPARn+SCV+sTl+4q6agcZ6891lF/DeBLy1P9mMgnk3ZHd0CkpEa8nxLeWKqTSuuXT -44q0qXI7f/RkVxnqnCQZW7JYUYOsZnaBZFRLeWK09iyF21FGd/xhkfgrZLNBx0pZD9iCo95MWSgv -ngfKPKP2TbULAiS1mEU/GmDpzumNE3SRBC2Nk2LgNdue8xZTARGDrufZr4AIanTC2J3ucijKmG5I -z0Yb9fSAKhnEXABKvKzn9IX7Ri0RpYBYmaCSW0DA8iC+X85UVvFEXjPkMUHtP9NKXxG5U6tat7K3 -r8yZx4Tha3jA006UnSyhz3Ykgqz1qcrMHpW5oPdZQRkuekiwNFQI6oNJFZF2ei23qbmYCB8eHYv7 -jmNI+xI4l2wmwWU2rmS/UG1ECiRQ2ix80d+o+3kd0BGAuEOa4C1xvKWboW7b1kHiwxkFnW+z8nm5 -E0EB1ed/mRzA5nH3qEU2j3EfbgY+c6O94q3/swi9+bITphL0g4N9XjYv5hVdgFzx9BrUfz96mMKh -CPfk5a2WxpC9ZlDQrIkl4NAg9g7oaMNfVaGYnVubPCdsMZAxxdfDyXXsHNevsEagjEcSZvDtQ5aa -ukAP545osZ+daajfabR0gARAheB0lGYYb5xBdhuUIFWRqf8igXgtnJ9B2AExUDLIgEtbXlGZmaj1 -hoAgH0HdNb9iWSGhJcHmmGlKPeB5zk8XiN1J6OppOmXqBKkpferUKfOXtWicayQ7iDn35VywzU+C -rycQUiw4i9vxyIwZKMUoD/yK0LucJ4MpOChLlGpycozIxa+7TxnjM6f+8potG5KBMYsnAD/4BYKk -1+Eir1kM1tgqbnlYuwnLHj1HvJjU384gJUyg9aOA4rhIuK8PRe4pOH+Cnzw7taJjSdQQ7fSjiVch -PMK1kq0pYM0Je43p/rv8WariQ0viEaO/px88JcjAxCKk+ypgqWDwfvvKobqANAb1p0D0y4QMFkrr -Cdsmib+5yAQHVvqM4MOtWxjkg8KCzG0bd6OCMsAer6VBU0G+AZFcV5DGAqwhIkvoxVj3m76WvLrl -0nTibcd6jvt17kVEoi/E04ZD9nznqgskFXyMZOoHcoJ9pH6kWN3vxLPX/TF8XpWJAr3fdP6TTcwY -xPdVKA4OEmBeqVH8AcnpYbI0J7QopH/uhkKWcg3R5Iqdj9qoUtp1QnHQbxXu5YfjYs3Lo/b6eCIQ -GUiUU708+1NRIa+8ja/2dt2L9JLJu8b8o3ZWUC5EzagpuRvi8zgGij25wokL6RnN4pO0uZp8lJVz -4R2GRsL9vfsmVobhL/PD3448uPF4VlPbn4c7jv/YuxiZKmz0tZiaR31ZpYirtz360k6jzHrIJZgX -tJIkqs5Tfnu0Sa27dcxgb6BMTkZ1C4sxpzZPsHVgIqoKvmAwmy0Easvt50yVGKW5RCzGFmt7+IYv -psZDnSde845Ro7+y4iU98x0l/sZ8a892KSPq0blYCZ7KjSqdag33vkL7IBtRHxKlLuZZjz/84SE7 -asBWVZqYyPg0G5/+97Nioy6F/9e3ud3cebrzFfnJ5rRhbkcxaaZrN6Hd3gKwJamujMecWh44FRPh -5ubFbGLPutsqTNXR7GLqqbozdwYGn/GmZ/i+5zaLaAmy0I0/HZKCflz16vYLqSeSR4LsmWvEQuna -vweP2/00Ls8cNAWQSRf11I1ToLsK5z3oy1R6gXApgnKH5q7Bf32YKCvimsroF/S2mpTJ9rxZrCsa -dq8b7iqtW0f9xN+rybktI/HIOMKHA/tkvbJnoVXGC3lzlAPDVouGrKFFysUS+ymNn28/fIvduJv0 -Xfzu8YZHiyXWOcudd/Rg+ijSbGv9VGrDIcCR2/phjWRen49OnHJ+QTP+JjHMypF17O4rMwM8zSpI -yC3WOBl7aE+BJbYfyNYZlZZJTI88N41B319CkPGcdpyEypb0YKHwIUo6q5ntFBOWKw82iAI4MZcq -tDBI/JdUoEQvA4r2EjWwQyRy9QwDxn1Bj5gEGdKGYSclpryBQ1isLmrXO6Vt5hx5vGNdB1SCZw2/ -E1Xp9tidwptK/PnfTiQha5lKYoyIvVletsZsjQuAyGTPrKbvBidZXz85YK5nvWtpzUizowFsSj75 -tuh5bR73ssJmWgxxrWRdJMCv0ZJ4ZUW2nuy6rHAgbbH8pHlO4d6EhSbrNxajxYRLBuwZJXc+JL7q -NRZ4fAi7XOoSpC0YHwpxSchk/e57RGwPPjixkYttcxE08qRaiY53OLzD2i8/mabQDhgmY+aEkAIk -Zij9HlOKlGCVlVqabE7w8XB4Bo+nEkX1xpws4gG4s7NmqRBMZCCIBHY8q9l73Y4fT6GdVSIDP747 -Owl2JC0GDKKcIArVB9m7lXv5TgwxJQfwlESh+YGOJftPuTxdwsaj9q2cO3322HyQSjnaCIHgLbUq -c88LRIJB6HnQ3mf1DEVfbIvSkEBm+njVK/7qg67cp4E7vbreJp5fxitGl6JkwMAC0SFVk2rjNPXr -9xKMgsIhQAaafFmzqOfpL3OqyQJch+8+hs31aUEXlz1ci/WZraACemQVMWJ890zCGy1sSKlEnfea -IkXM6DXlxUK9GIBzkhs0L3jrCLbuPhgbV+T2IewwsaiIC4N7zrGVMb0TL1/fZqONlE7lh0UHBdjI -Rm8MzS12AsnJiYkGxOuJMjx4tNRAcDgvAQgdTVd6qjk7Gtet8sW38d6PzJdxWMX41r8y3zoF/FEW -O6jtmRlSAKpStz2tmrJAI7/MAedFyko6vDVR39VqSiI8pyKSq5qzvJVv5AoPCS15zG/a3Tx/lBSz -wkIwYOBaTsKkFaXZsCSy77OELESJJj0txG4TgVLu5+eZnaemIL1i6lMWacbvBEuukU3xUjHAQwD0 -tq7GJkzBWYI+SYs25d3Cs7vlXrnU9MViSptcfn6yXZ2REjr208rOL5KFHk0kEN/HS3R4QKEXC9qa -KGdJB7pj3+/hItR5B/GuklhMVgrMqsWpGpmr66ayb1+L03UzzkdfXg0LVs3uI8le2VXHYPq+egMt -zs59Qz7FMxCpUYaYQ1TPlqYJQNT4ztGWEuDhJMp9StpqfVJGoWdBwno/o6rkhesEV/wkAAj2dAsS -KqLfw3bQeHZtRMcn7yF2bJqsBAngfUS02DQntScKttIAtMRelQUikaiW03BOR0xhWNDOz+MmPwhu -DgxgSdbKJTWKp7eh5kwle7M9LkPFmogC+Bsx8SFefi212Zwh3xnIcwJ4+0YULUTu6mu4e8hXe5vt -ck3jkgSHmNVYJ53+el/hvCgP+2zCIjvDxV0FW0SNZWnUwTPLZFD0ai6xeGqUeWEDUPU2BMkG2IP0 -+QFlT33eMbCjDFOw2aK08+V/r65plRDzlunwjLS4n7NwewJ2A/sTa2BHNbMwfVvLnCqiV//5PjKw -CW6PMGA6QbqASiWO2UvKKmzydST4EZRdRKVLNclEkrcwxrK+7GZluoenjKJHwIy5CIwEI/00vKnl -LtCUUj/afhJloJMd8B0J+qS8fxR+ZFiHPpSzotrZeDYyvg/FgvqtHpvNQkNDLpsZlTTNvo01OHn5 -5+joCpCD+UFOaa60daurpNnuVDN2lPnSMRG4SsVooiPJU0eq4vU+T7zFRIBy3RWE8z11RVp0vQ34 -PdbK0gBq2LOzr48hZGCsKeHbjTcQCnOygeCO1F5j83wLpdbxgfkCrLwXAihJEY/+KSEWXNtrhpzf -AuLHuGHycVZNTymwnHIpIwyXD9u+9DNbpOUvzfSwoSyYxSzc/bP5WopqYMJ4YT7mM3V3NHnwlQVt -3DB6M+Wf/25czKW4eDO6VA1J3E4CVyVdhKqrfN350R2ReCvByneutWLvfmhaI7SDS2Q0GhZ4nL2C -hGpL0RAEXu716ZDsDNRFgnXdgREPv1rKTvZrBUkHfrL3JLwdu0R7UkibFPffDVl2snnu8DJkHJmS -xIjF9hetp7c4COnf1aCWbB8WJyuFRc72hqT+/BcQ4Xqra+Tz/ibJPljXsx2btivGnc6YMOoJJaEW -0nUlKUsQZctFcMuySrc5q4bWI1o3FWNeGbMacIhH6GYLq5WF46+wCdTr/tSOw4TzEKqymIPGMz0D -rzx96BLnVQXYWUFnNvYdOzGGAVa9ICNJ5f19F2ZbCsouW+Bf9i+YfCRDvaI5rgw/pkJLMrR9Ve4w -g+qisfUURymSSOx6kLIfpiwcfUUBTW3O0dh2bBOlzJtqtbTOrW4dTuyYk4iSVl4reUA7u5gkqZ5b -aNPE1j/NBYqPxheYtofeRjyIbbV9DdPixRLiXKOy030BpSVbCBbv3DiPxy/Upj6lti5c1aGSbevr -yUIl7ItMPadKKLc3v5HKAtRRKN/JWxuu9BHUQEYMslCCKYxLQqcJeFowaE2JGhsxqnZlmMybpI/5 -PZHF0xHsOyJSqhsKgO5DP5zmF77OF5hQm2GJus7Vm9joI29paanq+ZWNFBMmWXo65DpJiKN5yFeQ -w8GpAmxON/8shlhjCFXl0ZD6h56EBtvGOcoId0S/BLY4WkvpxTf3z5VB4QI8EdeRqHin9n0g039I -jnQ1c9uQLERL2/bojZT/HHbiEM5en/7Hf88bxvPRmXrQySpOuwlzJPNm7LAPLJbGmCvWjKBLvj9s -FOuNLdoLqVt56t+lqejN7V1FslbgQ6CjY4eLss2KRmAI0NgonjDEpxCSUnBeHDlUoPNIeW/gPL1I -X8sJMtRS4KcgBsShFv+NeqoFd2KyhhZkeDzecKtZVTiDE6xiaRuFntgrolUOynSRgrxsoZZbG3tN -r5eNqrq7MiYVGiYtlnYzUpYAiULhBZeVMXXPZ+zhRv2MkTL7hvRMaGqhV7XJOjJjivYTb9HM3rQY -y1SLWAOly9596wEJm2x9aYmyPKDpa0icQkVVWEZ6j+PBc14UvtQ9rX/HOzGYHOpZH2OIXCrzmrn2 -hAJnIWLoF2dDU9t9+edXuQOhFX6pFvBKfLZsb4mekAtgUIpgmWmJQ33ozYil0XfyHFWqemb96Sv4 -J0lqDuEQYFdIwdgaORQZEDAn1Je6oqJ5mjxTnkGazd3ve2nH8irrmCkmhc/Htz/o5SjpNf/Z5mI2 -z7KlnpjCaMsVJwBYUd3/K55tTLAPs3FVWwXzb0waqOIU0TW+nG7eUEUJauMPs1YcDTI1RBG8oia8 -9uqEVHUaEwtoIh9a0raIgQldjE5+lD1aB73iTaD7bihBsR41hFZv1BQs+hUUnnSNM9bqfsdu4Egk -cSOw7jtnOiO9fCTZj9MMp/BDqkcs1/ON5xUYh7VeTiMHIinGeZ8/Ldic/uxnn8oQ3bJ4PeHL0KzS -9EhRL17PBMBICv0YPmJy+ZU/JdYXXg1ZLbZRQayBQxEC8iq0KK93ZmShGSeHKzcDATwFi39WG3sc -MBy1aHXt/o8ycygHw6LHavMqITNOYj59XuzPzLBsWO9TTdDxjU723Xzed73Zl4I/5mclDfBB3snx -LQZDFUwOEVMg1hBBLa0ZlRBT5kDoMEjlGFtODs79Ja0NTNH7KMYIxLuGTyt/zMMpaMddR0uWdUyB -IH3jXiDsbKfeCtnDO6flNGjn2eC3I1L6tqh5zsiR9jhk7sqP7trIaAXzu820gaRUQDV8G3XkOLJQ -T53OAGB6Q/Q+Lpshgow0w0vi96z1H0idN3ww4Y/lXO80iGuowgvUn9X9ogNb47TPc6XPizU/Bcqe -enxAzShUAH6nXILzmlw9toZSxxADc8XE5Tylmoy2X27xDNYtJj/jLN4p0k0cb6n4jUcqEpHmHESW -rpYo9X0zegsr26OjtHMc7pTqZUvYhZEUTExEwseMpmqDmZOJBZzU7MGZb6U3fdMZkt5Aklld//FH -qRgBSWvcMWOFg+lPpb0nCiBGKTqIlVew18f8oOT80BQjzaRaTNVIVhDiNFPnvD3wm26tZ7RRTZBm -qUUEq4f+gc6bQmGO2iq9+59EKrLp6CA4gaNnTUvY1EBmv9tfLAKwlcg0gU5RJpzoRH7ZHN+9rD7M -o9IFvBqk4CqjUZ24RMVFmc+RGGe+XtZyek/4FZOTnlDLz1UTL5YooaBQnHll3IBJxZyB7tv1DMjY -yH+1VKUn06vj9akElcOsWQvfSv4iTGH0LBvd5tf5tzWhygQmfkuiOmsuEGldYvTPB4zmWUM3B2QT -Fm7QtwGgLO0M8oHJkX8WiLUMABxesOzbJ2VoqPYkwkQ9KjHJnkDxYyFO6arKksGygcflJUoYGCc7 -LgEpF1L9qEfVIuDtGc0wkpCugr26LeDz+msCvoeEQiHNMDiOL3pfUZAEZU+WCwmA//+ZuXn68db8 -XRSuOieNqkKsSKkkivn5beDrm/4+/8v/xpIN5fNgnLVtFgLRI8N7B0Sh+7CRiRgQzvr7cSQ0qufN -MIyzIhj313cl5pvjvBgN2rsoCbeQVffv+BQS1k+iULB03FoxtQLc5rYQov4v3bceNQ+yMbEztajq -Vq/KXu+I2eOKZDUB1eLiIkEESfQxU8r30KyksAnQj1EbEx4vCmMxBfzVi26mB3/62Nz7fBJt4OPc -hvgHkIdPj09Vc7/jbDYlNVhJqzNnsEzo+RlUISzsQAlXDGXcv5Xp+n6oJu3KemRlgxKhUoCNw3vk -45JKy2ftlCFcRuTsvHOUR9Lbv+gTFc9HYP9mceNBVm50W9ZAhSM1sSAKtz9+9cIyUH5rroe4j8RJ -FkCAkDhfhr+N49wKLjQ0J23X9El48stO2NUZR0UL04EVEdeJoXN8SJpVLu5cPZ+/blvvtAMn7SnG -hoXH+m7iC/FgVUrROBOV5SC5t73MzEuJ4x5T8xDobQBH6epQKH6ymYQuGr6D6mxrjDhz+FJ5YNDg -M34pgkmEHoEi2x2oXV0KvFJWxsff/gGQC3Jf09IzusjyRG+Bcntl+wB5ObZMB9nFPhkmYqQ/jiy7 -Vdt2jyAqmd+YzQ6g19///P5TpwNgEaZ1Bj9TiUxuwbZbiAwm4Z8ZFfOkDyAO7FFk4fiLHAVMQyZT -llw7zrgjIb1Qdh7YYMLlah6c8MGrbzQDFu8/jx3pVhSY6WF926IT9aRu+lKO0gxM+6bUfE2X6K0z -an8syPq0uvkLkEFRsUp7kRGzMITCIb/UC71ar8a14wHRMu1HiEvk1KrdcH6tihspUTNUsRdwYALb -C9ZMwIGn7lV6ACiQwBrSA9numLHJp7teE1rzTqKYfamvy7MZw90duLpBb5VB4S2k8WmIeMSKyz+S -eIMjQMJF3+peOLr3JI7bhavLT8MRQU8JkZWrYTr08VLuE9fe/71ITTHpcKxBeKTN9R5H/BglOnKA -GP/TNczRAh3OlPrfZi1CIfh7pwfVWjNuSBjvzN0WbLCVOnVgl2g+j8ugsqDdpknCrPNvRcPwFnoN -uEl/72kXAk6/9QNVXct3mMozTySJsBpTvtlVXnhxZyPD8xJZLFbQ+mg932DQRv3Vr+v0j4y++HAm -Q8+ZMCCaAbsmGMBoXVMlsvzQQZfjqD+nlfjatVFZOhvDR9vYcXR5ganwJg5g1jOZYHAV3/wMCIyt -w4naZVVBKqIrxcE08c4YYB9euSKzQWy5z097KNIOO6mgq5wkNrf7aLYAfhTr121SuUj+hnShyahO -mxMFRA/vlV0ht7n6ncnDe7Q5/rHRAi2BipjR2EZouEriG80YRYv1NOKgLFot7E7lvfFOUgMr6wTE -/BtuDb+HyRvBfPkHygmzgGBbMzra1Ly+Sd49DgWMcakEgXPQtPwZmEHtJrpiNunxk6laMG4VR+Bo -6/U0gPCE78AKD2I2yTdSa/DJRLQxNsOOk+/M5lopnJV+mRQTbLK7J7yCM5lQb9GhC70zsXEp8Jut -3KBYlS1JOkPOSnxGVAqmsyNrEs0q2J1P877VYXNbDfNJHcihRKk/unOVMraMlXodH6ma5OEUrt9f -8E+28YNRNPti8dR/mztazfvTqYo9fHTuo20L6jieprT1Sj6rffENw/4mYGuEAruzTa2Xvw4UVba3 -8F3ekq9z5akHoaMf7EuXIqQmOBYlh5ImfuuwJnCt5+Y+PUEn4D4f9nTmyKOcjaAEs2q3Egjo341P -rM9J93o9tEGINPw19zqaExmmyCnfT49nmK3AvViLau7AsblOdqoQrq5w5grCzmGDQdQTT11Z3bSF -ns5Ql9kwT2lx01BiMF7b5UGi6FiYDcYiSxi6UaS6fbYY8OhdBaX8OR8EhfU5GbWrX1nIUpk5E96a -pIuV/5/ySaRPgNu3oPt3MrkBIH1VusSYKELWy63BgsmCBq+Yyv2qmnUrOO2+TnAnEGcPd5qm9D+C -pzh6/3fyVf3GIsn7MS6ZX/P5+I+ikszQfqjrN8HxLWcOIX/10ohtbPY+9znU4X/Z39UVfnr+cwOn -WihjKZcshqoG+vdX0A/ptxHtoWkUr6Lc466yJ+rLVyMTxzkGGfh2Hda7YACGKr+efvU7C8l84SVz -kRRABQNFgCoruoqvmLRbDN9SNdAIpRxWsfCIO/aaz2EoIyKxzBo9ATybLTr+ORC+qNWY5MDKujgh -wCTs0EWv3a3PA8xlqDe1U7ycKfX2n5LFOlfV6oGazleWVr/p4NaSI/JNLkgG0PF7y+kWA7Lv+j34 -tmqpPWTmhMitG6vsWpFtyqVoYH+vHVM/YlUCrDvXq0cGGszgcR2WVPi5jGD7yAjzBgsg/uO59teH -ayoy4mMhdPFfWO34M4/1kQBNe6nFfVk9EBj8vmJ01WETTOMLuodWek5gfBwCycdXd1BpeGGb0qni -i1NiU93Rzvzfzo3c76Xp0JGoJB52W2PfZEnqYS04EJsQu5kzV6haIL5xJ7He/vLnAJ2mEzB4e6Af -9Rh74n3ijD+/nS402nAhh+WQXAw6wmkPDb7bGmmTSRYJwur3ybodLb8t5W4B8hXhYEbTmrJPRbXR -F1kL5+2NKf/G4FmYv8J0LTo8BDMVn6XEqRUWu20yp7lBhS132E64s36M7eRC3XRiFtKqNK8a6HWz -zIMFwmwLhq5ZTx/cIIDd9HV544P7fm7edkUemIJJTW7+rOuJcxRJWmbYoBYdrzw70XqanCp1RQpR -xV8+Gw7zQmzRRPQjw/9SNJRT/xgF1GC+oKqauELQugCzhw0AP44vRcQGCJHWaectsTJqWaF7tUZ5 -FbV/+N2lSZHY7zJKor6cxdcHv7kMNWHchCmaFF8WxVBaS8sz0t5BVcWaxk98BFHWH5ZDthiKc5+c -4Ktyfk26mJuGig4mVWdxPr4rLUXUIB0r61FNy417RuClzFkTyD6h9xDop5TN8H09Tdh7+0q0R79G -jfybPX4f9cz3i94tlDpAu1UKGI7GyRahPvdqrpAQzVckDjDXP7ohENutU6oi3bVTqsySzC4qGlfh -D3GFtN+kAl3U+4xV25cwE8/zKnPXs/BqPmVd6JuGIyIbcp0Ozm/x1ZbEquQBmIoWHyf71KMJdHJO -T/CZhWcjBqoOtsINPWJKdJ/lcfXrEJntJkxnynFqAtycD8em7v92qJ2Fsf+eJwoNeXnfd1oa9AZP -WbMn07oB1GkzgXBhgVkLbqMgUzbNsHO2IpEfNpAHLQqyvsxjBieQzMSvl2y+wwH7rZpLLrIyA05g -aMURr6O88DBA3EMHk8ugSl1fnHMFx+v6U+6SByg6x9YzqMA9m1Xwff14iAHB01O/qmJRZPMRpbTD -rQwBP7mIWyMOdIWZNTzAoqPW4j0N2wAuubha75yocXHKFAfmE/c98U5QLJ7rdAlgCc38UUryQvDY -oY6zGsWBdOUpTWishEgs/0tTqXFX2Cre7hT5lbwjBMvZlbgTNvqIV8qd09ulcOnc0pZfWlL0+kpb -h2IM6hnTH/K699fZapQrfGhPq+XYghmDALIhgf8teZmJCA9NGEMOvGVCHJy0au+msgsuFLMxQeUS -vPV3tUYkBvwngAtkraK9d7pKK1rjjLi1FUeLWP26T6pNw7ftlBa92IsBJp3mpkmiS40b+1RR9RQQ -IZTDMyuz9/HbmgkZFoAMwl+Lxk2mEQ1I+IhDJ3BxaFpNiJBW5UxusRvUOOTTJnirOGxGuid4soTT -X/ZyWwgSoatyOHxUp9NPYOvyraVCH61soKpKRKCP9WCI9UXCLIV41agfzXhVEIMHZQH1xidLEXVP -kCiNjnihxasWdk7G75xdz+ZBpgZSEPBLr4yQ4vJLWHub8OAdNXnU/Cyrgin10FpYKgxXE1hJ2H4m -6r9yCELo41bZuEnkPMhoeI5Tg1GRVA4YTYzcu38mtf+MuwuIwwzeBs0b3Tai7eosaCaX3srDkoDn -7pMvl03/8c6hBBMi7nZ9lVjd1KOPngxdIpfEw2kXJmAEH8SgSANtwodp4PkAlzTbukB6FXBfCKqN -eMaj/iq9g3aOCIGz3+hQlkMP9CF50VkvykVJw2CtBzsieBa/8SMEOsyo9vPEdUMUafmAH+D3rbJm -5d5ifMsUgXVitMCwSWAGy2G5HSbrfoW3pD+mRGAIjSVK0CQl2KZGSMKt/iiaTgcykBA/94WBwPu9 -Go31FkevTin8+bPfu1ds2jEtl09DWfMfA2uXGqLqd4As0GzKl4f8z4mpDbizklU0mr1/JfNxhhia -XmMEdHmCJjH4knJFSN3MyIeYwW7iWzsvY+F9M3ou7SOmGJOwQvwGUr23qX0HYSDpEhXNoghhQWa4 -dm1ySpGTf4zDhMVTSSWEIds4hGAbbHhuPImNR2HNqJRxIWh4DDHLPMqMLj0TTbUalb4z0yFR47r6 -ZHOiHuDz/50oA+sD6aAHNKq0jrYm/ywD8yBiB7HfgNtkl77OL+1VpP6Azinq3zJMQQKT/gMjj6N8 -0sz0bzy5LNnyrfQ4Hz3i0UqnEg1M4fsxpQo90a9ev2Jzwi/7CO0EBxSvci8IHWdfYI0+YRgXa9tx -AlKTI7bvX8xk11HXzsbmzt1hc2rDS6RNdxUJl9H2ElXs9IkZB/cDJSRtf0qNgJJ1GQHPsyPgB+Zy -DCRWgb7IcnEzbG4GYm5xD8JC1ORErifFgXzj2I/VVl0/8RhKud6hdHg6qW6QT9uyrv5lVgXqIH8l -t8mqrUmv/u3H2aWLQduY+pOHPiNvdiFc66fp/29QJcRVqiKxHSWhGisoEBLuJZ/tvazp2g+c88ii -focz8o/rTzQSFMP1sljTqd+OgVB5LjAk8Nd7HCRSjklwSsBAuWQssn9vazDFSwbbAH8kym1eg4dB -yGXcWiCrc36+YRl96QJN05FqhrsFLpaQtU1SoCGs47L5J7Fhbb2tVpOvjwe5Z+BOL+PlrAgQMKk8 -bwZMMIH26zqIqCV1LbrJYUlPJa381ZYyibiQZH3l+DxWC1kpH0pbox4QrRmCmcCqVVbD4/7RlAyz -9SCEAkGC11tKRfxvol2xAwvUt9rYI1CkW5NKT1ssYaYvRTPIDIn/GHAKgFLCbfmH5yBgVWRKf0Ro -tQQTFjU2kkRBTaHITdaMgUn0J8AiDh/XWf4KBggtdsIZRV0hsSAQa4GbnFIIp99HtIH5vU8abpym -eQNip+xEaMRKg5Jbq9N4WuCmY4DtVS0xVNfj+iYdrC/tKjfXsObN2+r1dkYAgW8nUlNoduYNJmYT -EQhknXQsxr0Z5HNVQ+f4WkxIWgrHfdlj05GeKEsBt9tfBVjx2mQJutRBceTiwqkUT3kN9l9VDrQ4 -pk5eh2iOIG3KyeA5oEsWYxxEfLHlsc3ObO3b4hV/kmcCDkbdwxQGBgdjT5Dtynr1/KmxqSjqy4iB -0NXrb3M8fmX/rtsVVHfJdSTBTqGDexXMeurSZLLET7hdHYAafvtNUlxy53+8zvLYH+9FK0l5+C6Y -2laMwsoAo5v7SElnQtgQvI+NOdq5QxbAHH3XpVEGBP5AVN6Qb4PmTPp9ECcD9Bt1BfxAJK0tZDmW -KGmh02W1i6RCtNMUXzlbZ937vFE6GseB8nYtBvWImH1myta2rCNZ2/NB3psAIGyMCkfGlduqIS9Q -EYDG+LXtGBnyQbuhc5vbQ3FSGBonQgZ3qm0CzMP12awcp7MxyAhEaAzeFsbir2IlsBKLuYK4YLXu -ErNYIruOK2z08vVJ7NY8Jei9nhnHngOSJu6L6hzJjIcOyFWPLS0i8eXQtWl5XyXAt2Q7kWnGydtE -bTmyXbeE1sfxh8eoS6YYdq1LkF2F2+jMDUF7LfHBWB/yVHYjNj/80Y3hIxTXufQiruJUKv0R6tgP -bOSySq9P++zOg3Vc5k2SjvX3DWpDvI2K4LE9Z2NA7NNiiAwHJ7JpAVQVqIkNixb27OwqpqTTtXfi -gqywYfzIsVcsLbTq21rLPt+bgFnKgA8KtXN1PAEoJpjsiItwSbPR+f3fvmY2p2JG6Re+BAC525gX -Lob6NzanJwjA7MiFoQ8nA0PevnBu5Rfi/s1HxlOBa6/YZLlxu94UknxB8JGzmeL1hSi4I3cAGRys -nQXEhc3YIGWao1LbnV0X3iaqTljEtQgziUB01aQOPELco/kv4LBCqQeVidMYT0gLAikdNvhlBPxx -WD7MLGHbQ2ySJH39ldnqrQ2G9PljwN/m98UZWl/z/eF1jcYNUpiQxZ9mcRWCZ2y9M7jv6oAek48s -MEi/vFZXOzh8d8okBiMb4GgwbAJj3k60sun2PdQNmWz1tuFCS1Iuk7pAQlKvkTVd7m/EwmSL2dwh -e4xA+3sN4Kj1YmkqB8j8aPqvOW6vsbt1ct1vyA6XDpq6yqMKoKBVpiUhOsGj0QASNUiRbfMOr2NE -MDgSLeWP6c6rt0wK/z/GG/L/WWe4WoEDfq1ICMDLnVhzw6o2aKHkCGRGLXy3h2hKE1rmn0sDEWtO -27hC1bmIFJQ4vBtnkS11Z5NEnl6NUEDvjiPbhWucQJtQX3L0eKaSfzjT0gAK1H6NeaYAObf8CsHs -xNyc8lBUVK7zG7vyFVny6LZT0QGfHT0l4axeTnC/bMtd447RcZrXeIVof/CWPyb6f2nLTcdZKW5p -5kCbdM1LXF8l74rt05bpq4qvHB8ZSYSE3OyUxrFIX3zVa9TuoUjS4DdtgMRjK8wTiVPhp8BPdpMT -LSuar8r/XGrgfaXoQAlCaTBWNapmzDpZGYC2AMzz0uBu/hL6V07V6kcnnJaIGAfleVVMRW9BrvFT -uX0rLh7AVXCCg79kAN7zBKzN7znGzZb7I0ztdcq9A+7pOWcfO95zNd3x1tyJqt4p8ZrFHa6cN+lA -vEVg2dWsMc/Xvnibmifd+OVwMmFYLEqnSfXSz+PpPQ1VM/XTWxjZo561uQ0oQithfjkf70wn1lBW -SIz9ERNKI/EC38JcDq+Iqu99ANPiQMVld9MnDQHTzjIcw4k7aiyPc/XPlbruUI8uRvv6Ppiqtfh4 -sMbBWTPzN5yqs6rxbgPm8dgeCvyPTjgxFFVxcLmG4uO3h6X6xTfN9108r2HkMScxuAFPZ5sjYYi5 -fjQiEh6bTY106D4/WUYfqB5czHOXUf/6YyO8nwNhgVz4n2RkXzYzooIXGuqX6q7ojw1kkb7Xa4Ei -th8UsCKsbWcNAkEx51rxaKqv7VIs9yciiFAFUNB8jQCYQpjIMhLc/au5YoBoH3ItaNcR2s3VBRzb -T3iJaRFFWfhCeETBs71e62/Mq9WPNSxahzfBI2ywcVGtYtFKW1IAI6Dne83O9SrwQRZLuJfxPumk -RY077mQG+Lp3NbioeUdgmCDhCF+Fjbje6Iz62yG45SLzZl4070ql8PZXKmij6lxzReCoHbp62myn -mtUIJJQhEWdf4mli94xxi/MUomMDRTvxKrwez6IECRPSxkRQgYyqrLumFiqfBQbv3woQCRFgJCpU -c1Pdq/mDRquclrcAQyeNOVqw6Vsjk/252jm4ISDBHIIY2H0pZ2N0rRSh8G91AmmGWsb8BL0o+qsf -vu9wmruq4UhU00TYY7Fm7JsxQNfDuCKkWU/EqJ6mg1NsZqoLi97/1e7sW7G5DOuK6Ex19i+ALlqY -3Rt/uuixBPlAl4Roxj35fmbz5Va4ZpCJ3oDyASYbjf8A4uQs5+luAK87Il3u4QDEcBr+lyPc/NXV -yS0Yip7AVnaCP4KnR07nT9gl8lYcX2Zro7jc+POlkCWUjX+YZQHOOZ4S4sIP7DAnYRgnjbTe/Cmy -nkmeHwPxsaqnbMm7cdt8VbPQZVXakUNuR9vev/cFgKhC4UYWTHt6xsantIBidzm4w29efvCIdH+7 -rPzdk3+RqBJgEXoPM2hBDkHCI9nbELlcusRdYrC3GwMchhRHQM/ES191CI6dte54LKPe4STnU6iV -FeBZi5269yPsjylMZtJVMshIldiczkreEcQKS7PiEwOBkZM8t7KplL8OY4d5bZLE4CNx3bTzNUds -JeM7M8HxbTnjd5iPO1SOMAVkrFBXES862PULRcoZ//rvdS90WHHYDo1cG3PO80LTqsVT2fHmiXtW -HiVEKyH8ZzmnFHnp8T099avFivaKnJ5hZtYrIf4NmKEkpnus+EtHku+/u392JeTbmh8GRmpJAtXi -8FT0AKsausAzfppfAyEwlbcBbnVOyP8lXVLwopUf2XyuXaQs8JVR9jgjuPkTwJbBijzZnVvWtmFV -3DVWMV9MP7Hy6LbgtEJlK8+YdpPzEBCUHZZjqFLRYWUgIC37IuhgQ78Li4etJ4HwDhr9H0IP1c+o -Wah7DT5eIBUdnHyglUDVIPRboPP+dQFxOO4gYaxgtE/vK0RL+XJ8CuG14y7HlZnAic80fkV/n+yj -CTF9YShp/YRPhNQ46YO+FPvzgbsxy7Kfrzx3Q44efA8CmVfRXpU95S6Q1r7HMl/8U6D56kZHxqaX -m+3L120xhyYjjsD3403TMcB1ErzfRInAyxtIv3ag/u/EcGzf59fjtxJJpF9J8Is8kvvDNzepcAb6 -FDte59zjNBaj+umtXP9LHTPYIpz2INdSbKiBHa51TLk8Wia4USUKavzVE53/PFx5xN6lWG5kTfpC -wABspkfIOQYztQcE6/BgY6w21/Prjn2YYh9y5OmmBFysJ/qwKolq0B+6n9L3Pdz58JpwnpK/6yZg -uxNS6+9lwWD9ptdNPolAQO0Yg0YJDIy55k8AHKUDgjuP5d5/h/3TEHi8zDSpryyxIgzdF7oxjUCw -FyRgqPWtK5vwNivHuQP0kFQ7ybyUBLaCZc2UyD2z6F23UoYthyQrHkySV4pl/mHH5HI4/vdeu9cf -aRD8JgFr69o7nPw3EsZjSY9qDUE1R6Smfok1elfKCvYYsNCDwLYhpMpKxiKqDjyCdinnJPhbDFkN -Ssm8KXrFrJ/bqdp77Aev9iVdUolOdL/PU9IFwDaW54jOfMX+KQyJSuWSyWNSansmXz2ROyRf0aen -WT7RkrhdmM4bnXlr2CWJ+rtRmpp0JXb+ERTqvbgfHhElDIgEhh7yjrsJ18phiOdRrKPfeDt2uV4F -EKz9alHXvlXgsl0R4zykvXwe+c233PQ8T1+1j+Js3OXJPdsyhe+WKnSUqZNYyIzxMl49U8w8kxiG -9/zfQINDOcwWhphrgH62LJzf39aWBsXpkAydx30sMAznB7mcStr8HDKY8FFCz/00r4XwcNpnsHwG -xmap7fazNkx+kpFpM9XF/S2pfGdLHsl84JVqJUPzupTsYSFmsiue0uTBbjoNV+itmDheL7iCztkI -zM+2uaHQNxlY7oaXeYyP+C/vJYc5oIZdH1aMMyfvzqaca0QCj5gCxN41YgoJtyl0VeTrZULDpa3w -bB9jkMRKHLuh6HTwEqyDxw++4JBPCsqjep6HbYKT25zc30lByVYpDFKmiGORh8y69sm9QAw58Q86 -H3t1uOSOPf3nO3JdCh7IBuoRWiul4YXusgd/7VH4KihTZzjiFLh+XeFg1qup5yREyZ7ppZqEjhWW -iRAUqILVabmYKwJgL1710RdvXgyPgDNAo307iH5ZRYK9IUHoqrTM4k8Q+rqoZtxqB8w2RGASw0SN -9bL7oskbtf2G9kZAJRxvlRENC3/eimwYfuB+SMJ6lEGxemxHapcB13ecbzIVUNUxxOEqTfY3PpJ0 -vuNqtx6N/ifu/8mzbwqWlkcvGETH2cC8rgYA9wWacUKvrxZo9UkDur5YG1PxJ22zGgV3FKjuO2Sr -o6wZ59RjQev7umBgaosd1+zAZDdUvFmJAeMP64iF6d1AXA7O493Mi2Q7sdFGIZqcpZ+A6TEEXNM1 -hK4xca4vesb1PO04qQH90p9GlX6jao82IbqAD2A3AaKDHshh4QBcp4JtXokzm3D7KbnvLC+3DjFf -k+2ESa/LmgSDBxM/pEVkEC1LCf7X3V2ptzzQJ1t2u5K/JzpXOoG9A+KtSsJO5A0Ly7TftkpH2KQ6 -Kehoc0n0q6yirV48FewDfyJZwcoULM57UgF038eGccgYzLHKtyhUCre9E4husy9O7KkCdex0Jxtf -P6eeT0CHMFCGCYsgO8C3K5pBH4PVk20/szM7nPE12J66PuIaVAPzFavhpPjZKazcit7hDmGpfyVy -GpWU1volt2V3XqolU+ZFpElQrN87S9SQuDwJfoIkA4lxPgFxieKP4Az7di4tecjVCUR2U+uURv+x -/1vBp5QLHoewodj514AlXlbfFTv5/XfbovoAiCoMxYc63K2T+/HCQrAUkuYxJ69R7WuexlF8yPhL -nF2WNODxTOCc1xEOA0/kkjLOV/iX6ZYd5y1OA8bJgfCJDQTZJQXkmsjaBsIRFO0kzXL1rRMikC1X -dq6vtbr9RIA8fUVMyeZDOROmuSa9idKAH4/kH6DDN7oU+S9rlBkyYcW0+QpIjHm3/jCJC3GNuAJU -JlsfjxYTWKPrp/3Obso89LyK8xTj64BbwgopcG9UDpbvXuVj8gdljuE2wUtqKY9vNs9nK4Yop89j -gN/01G7jCQQCCDLDzQQISAWv9EwR39GIvvs2ag3gMfglvP/V8pfogQXc9+4VISKz4l3QwicZKCQx -PFFa5LGcYrGjtre3Gotk++i5pI7KXNGrfhKwCIA77wV8RxQbE07n64s8hSb7Imes6XeC/9GWjra1 -43EjQP+b09DlsISc/2ZCfDn8VUaAOMBNQe8Tlx0+rgYo60fjSFdxMr7BNKOf+uPIGZDa5PvFhqJV -YdjpxNIq5tA5oq5x1a1kHcSxiatPmNuX0dGRHc1ndzyZCM7TTKxNrSQ7uJhd/CJI5O/5eqz7Us1U -k2kgfpXENdmfQvnKLA3rMMOHf0E6/thoGxm9HbX7wpufN7ofxrKzhFE24Oo1F4NJ+pl+3wa2Nzad -4L7+LyNLWUuU7qWWOxfsouqyhaSKCooKO/wpVrxaiMtdLXhh6kXsTFO3YYj4ZFyxAJOZ6OCq7/2n -Wyp5GOGuXL+h9Ti6wAWeXIILDPaglbp0tfaUvKjFw57UKlDW5JoFr6BYHr8Z/pyrS22J2RUkvrSR -hpP3UcG3zC/zRclmGajFqugq1hkaxS9kSsZtjMRTAqSfYYNxBiLiXQfk5wsAxqaSJemyIZ6uiG0E -Pbv0Qf/pYQxyiXMoJXQw23qjeaj7OsnyA4RGXuYlK0mQ3SNZ10YJBA/U8Q6/F5e3i3hRiEacAGjX -59Vi+CIW/jDNfWgtqstvLoCKxvaAs2EXpb/foLGfgwtivz6+/XoZmJ/VxbHhAp+lm/EAt1FGxb/x -t3cmoAvu5pq7SqB0Z4SZpeY59ec1CnIkt9kB51llNFp2DvkAn6AuJtQ9902cT37qISvQHXP8H23E -yyEEI7xJ5mg7inVaTUta3DGuA9JEI7i5sFCJmmqvNEt3EupqO+zJ9FPPwr347lQEUZGWPAkU8lpA -qsG+KUznRZdh9D6P4oUj4CuqcPLwWP87LNfuGrRdmRV5/m1aKpAXOR0stcO8TqcifiwTM+pzRdwZ -sfpmi7k9os0ng69uut/lu+033JspjCc+xCEY7ENnA3Q3xQagecleEtouSy7baWBnDB+Dxs8qWlY/ -09MD3r8wE1FITQypPy3zzuVSNAqLHI/EFaetsAqaSO242suqUksCPod+LUZA6ADiHQ6Onp55RILu -vMCrqyPnlgiVy0Iwa9aVUjdCl9Ttfe7QXTXsjvFtThjzXGU90oqBKycNHrueo1hAF9euPc+t/jFq -qFaX+4fBO7SN7tBGF/ZaDzPVUlX9FMfrFyRVJsiY1fpArxdzuUzdtoyd7xHO3VI3yi+ZS8pPgru1 -sDh7//oHeN1DcVu3L9JFVUnDShdvQFQUkY2HC3Nyv4MNyAXQ3aRYv+yAL7HqVZ9VmMoCFU88moe5 -7NIRgZmksg/1OamZPgLYD78BvVMT471yJQ4rfUZUO6jWgBOqwTE4aMtU71ANJVrLwxSUHOUJgdfc -wSINHDDSsVXWGMlM+xFvXrVBjJeAmCmIJOn4gIi94GGLmOTIef7vElgKRz8M3z44Obp3K3mBmA00 -nrUkrI1H6C19A/vGkAyLSI63keDgSYlNyb4w09V1tPXi0Udy5lkxALcph/1+Pd4vDQJSR8pxA/Db -14dRvO0MoA1//4bygLxZ2KnZOjAay53buDvCKmZ7GFlqQ5vEsy/Uzsyv72EClNC65gO1dAtf8zUD -cBfdGhcb13EodhigpKsPbCqpuH/7b4xE0yNQLU/pp+595WMSWdTQNkLnG95FF7qN6xgsU2ZAUVZE -HAxEN8HmMnLUDXXMpbqPLDJhqYetDkHwEHGVSwyS3G3XCd4RaGzmMI2KjLaTyVCIMTiM9zJY7rlL -VsXt0a6rvALHLo5B1DaaEe/kdjHxYwKdt6pG3tvFgOKVVymzj9ix6WEK0HDLBqlIWgL0HKT0HDLZ -ZP9rckk/PB81MnxDGlrFMaLe1ERE7yCeYhf9qehGiDHLQwbi9Q1lRsn9ijzf8cC//aQhS7yaOjng -kQYF+fcBC78NanHd+bgLrm9diBy9n0eYNXtqVX7muKv4bhNKwOz1Zn8RE5hXUtSr6+GUjSo2yfwp -+ZjqgYPpA1l8m1zYNOcc0x4SpgZcubFj71pkjL2Um5EiNEv97MgJvgrVPB+moA4Pl7SIVQ2e8x+7 -Bbxdih0gz5Oi87wWtVhm9WB44PBIIWsCPSLZCN8TK47H/O8NzZdkA/5mzHArCvPwb8Z7gcGms98H -d3DavCGW2gPqYOH45KuKXoxCScdODYrSQnHIz1y26Ztl3qCr7aG51hT1SsGqIx8jBvFsplQxoLbu -eG3cf90BxlgiQJhh4bJLQK2UJE8bRVU8KNZUWs23IJ3FpoAdkc+rg6g10UAIqybSJfyg9y7+wWAZ -tp1lKtwq57VNPuKGINk8QNMDIaMV+DFd20kRIsHhKeU6NdwhFnXbVrn2cxHxFEaRsgRQN4yu1qfr -cuyNV3ARd4LOhvzcAsr5R7Ic9WI/nA0rIquAH4rc9Hm8DTrstTpez1MvQ0yAuNK4uT5UNCg8AhXi -TGj6vlDG874dpoGHriCs9aIOeGK/klNho9zrbBm1uVjRhlNDzsI7d3FS753n4HvRh630rM8cjwL2 -Z/Os5RbwNCYj9x8wK1t/jcjk51CuI4fybFhB/f2Vc8sMO1rWyApah3Kixy7h/Zh+Ibzh14WFU9LF -2h8xjaxRo3PKSPTdX/SL2EzjAsgWGaONkt8SwBKoSCdhTc2E+MBnTwyOmsWA5pGqAtb6SZD0LEjH -rk9JhzuN65n7HVz9xihs45KiCY6+BP9DhwyLzPcT34GWofAgg1wI4JvUrD5qQnVglJzuT7wn40gf -80r+fh/A5RiushSXXN6k3yBgEYk4WOuVfSXVF5hxuOXHFbAEMP4bXgR3wpw2ibC5UCVLiW2v6Et3 -Gn0WuQFB7tGdjr1ohpm0JpC9FNR8CkUKCox1arPqW6xRfFbe37fOY+GXwj7G9/xRaYymg7hZ7uEH -5c1c6bJmRaYfWmhlwXKcWxasCMQ9gt5B2p3JkWpF9jjlPiGIjwR4J1Z2U1nJD65UXUG3rNDXHW0X -INFyApgKdg77P0nf5nLX8xtQVA4eBH1VzpKaafQMPVKqYZluc/lRF+edETlJNKBW3/dCm1nvTp3n -TXal1qpPHctQJwOWM4sC9uVhHtyeGrSwUC1DMzdu/bjQQ4NSJZ6uIIk3VHSZlmwv4iFeN9TO8OYR -3gk6OrjJxPMAzYVSOLP8Ked9NPliussIU7CpzLuEa6Tt3TDaKr7bqaC0YeU4azsz0cT2R+Nx8N7i -HaCuY7krMPVqoZP0UGd5jtDcd253n+qyvfhsmhjPZfyj9HVB9ZfliMrSaCKaWxS6Hs8hwHRPivL4 -L2BDmDQMW4HLvuRwYH62gNBzlcMBtXu2prK8JXRFuRtg3Za+BissSVqfLYRG8RxAaf6Tn+mKh79d -A7/+fGuQlPtntXLIwpTmrwaJg2CEiBICU1bbZ1yz21ilSdKBWxNKMZ5eswY3atsw2G1L//uiJTyd -4TqfypoiQMDhfWu2EsQfbV9F69GI9NhCOX18fjl8usS3jqwYsrkkNl7sKi1oL/Gykmtc7M9Lc+9O -SQdcdfCW1CFAATgjXWrnQDv+JW+lPNWCJB5lWV9ATmLCl+WNvTqYj70LH3lSEZh08YPfC/f5WjZZ -V1MXjem3e24tvRmyD6CX44ubRERARfY9p6S0zBjq+TKHkidYRn26RJT5mBuX/i8Wp34L2FtE+7h8 -cS0WcwqaX6xVn3H7BbKOkWIy1ZALDiiEZoBCJ8hgMZWTSnx9LMeXQQWa3YBxI6fmcMm/jWuaFq/r -vENSeQsN16Wcvk3pHxhMQsO/z+9O2cJwPrAWtjTy/urFN04Nc0rNVBJwx6k00/mDuekr+BWLOELA -8PJNHcZvMT2pZbdWpNmoh4HY2o/ojGtDrH6ekTcUSWRjoirn+0TB/mItNKJNWL4o86FXXEr8MBON -ZdO9VbIktnIVeWLbIEjmsdOyZ2uUx898/WREmBbm7NfuqqKc98EdJzsUL4kw7sxZ5cyPMYZCAmdH -tm/9ln6aObCBl2s2TTRnZ3IalAXn2SiDSQCwcWH3fB0I0XfkuP1O9GgYnFW639cavxWhwM0yIkpe -SPFiXB1lGTLEwe67c+Q0AfuNHSd80awZRgrODVfxJrAkD8BvDRQU+uXB/uSImC4DzeRHWxWtlDKJ -m4k9+Sv1noYg/JYx+aDQc6k0nVmq28xicy343ti/C7HFlQ9QYGcPFDCxwmX3LFXS7vC7GuyLBnZr -IsYS+IUHXfEevr4Knw0sOtIXCnUeHVGpkO2o6ALFeoIEn3jwR7GZZVbIQe3Ed8xB26iULrsmGYwp -2ccWp2yZpACw4jsVeLDBOSPN5LHTMc8c9ZIfLlYXtJ58i27XmVHDypuSG5RPqxDwLVStqUctzsPB -HCNpvjoRDW1AlvAYvHuCm8oQYZUwl6WJDU7FEvHXEhCT8CMfI7od+7IlIIqxY3ltlUgOQwnhjo1N -an70WwWHjI4LMDTUkMUoVTEwpob5ZK1/wEhDyOx3bDvl8B4SOZif7VmCS0IZ+DsGMKsT041/Nuif -FqYYAtUhKrx9NIxTsyG2VnIY0bXCkcUxVOrSGlUA+6BvOBbtbFtn4a7JvOhJHfcaamVJrQUSx3vr -ILG3IigVBQrqxtld3TqwudNl7yhegRpwLqMXasI61f6n3mT52rp7Q8Tqr/BF/hXhZRx3JCFa/7GV -ncCVoWoc765R9CDyy+s+oJhZVzxVisdbNGNitG793UJ6YC+E76tU0o1s4ZsTjk9AlqOZkDakE/oV -CoVJ7RZhzSs6+bMFPt+aPwe8ZQM8HZK/zxogzyBQIEFdFN9IEAdvzWPUr5bIBDjO4HciKBy1Uqeu -zvYpX/gkFjwuw6T78cuwy5pntT8PXz646nGMHUyZNpELnDyG7EP7oVx+F+DFvWze3p1imLcORpeZ -+cMJgk6A5gg/Lhgw9HLwq+DtPL80pRFwcxvmplRnwmDhn3CxEbZw62QUzVBy5/rTbSV07JVmZhks -4DIENvkV+fJXpXiStmADMwU7Pxe3ETxlGlae2laXaNvxPuMCMIhDcKbw9WO+Q9NvKobPwMmYNNKw -1mP3l9QjU5l1WcgtSqrLLWBhnxQYZTSm95tp9BrY/3xlDzRPia0GKUYDhK/NncgvJCWmnQvpXqiq -A3SFkxnxgEl9CBCWufI0SdJXvTyleL1O4R9xn7zkmqgC3H4eE6ZWVDLaIKX10Nr1zK5OLUyw/EZq -BaLM44Ow5NVzacofGpTL2M4PxDd8ZTHre4JwLWOQl8ZnT2lTs3KWHWqqddtRkEuTtRA1B9KUdEVm -yiqmpQYPFCAa0FagAVgKKj10r/fxGiAjAV25MDcUN4F5rzX8PIIAjujkGhadV6RjTdEG9qu7i1TY -6t1aANVPFkeYASopLVE73euIsKjrmoWaOLUhYDaUBnJqLhXYS2sYKCcO5audNsls7htgwB7CQuvj -XoKw4SkGNJcAzPM5xNTmNZT9SU1PlcYRtmwO2ZVgQ1lthNSaHmPFsGwItLvyeN0Y3rXT9y8J02tc -91XAjCVN1qefNQix8RgMn0jsvCkZOdtdJbgjnEkHU8/Lcn4yJs54aLi0I3rsyqfuMo++n5iN0+VT -XJn9ng+xxcUwpnKh1eksIjZNZHYGlnWkL7RctOE6Iwur5f6ymZ8pMJ/kBmSLDEMiM0jbnf9c6rHl -WMpul4O2FK7/BHaZJzHd8uzE1DboglbP3dLYUgvDWeZ7psiFV9Rb4sa9ip1loeOSNN6vq5WQe6k0 -CzjkQ0552o49qZwGB6HAdNqQYNZCB5uYa5+zURJJKcX5jqSbB7ooKGJ7JApJ6PUAA9xM+HhU1Em2 -35ds0l/9NhQiTQyNm0ONpKLRarjdC/GlTLIjZgUXoIMQ6w6mwmoVxe+uc88Vw5+znq4/4X4Vgksw -gs4679CuQCZPiUD/BMWCwJ6X5AcFrOn9R7xcnb6pqu2SnbMYNw0/+c12Zsz4LLUF/to/xTuaQrbe -hgKpeD5agOCjb5bWukqVzq22fCrlHOk0aasV4z2d9TprOhatS1oivTd/1rpq8KU+QSSiNTnSpDTq -5Vp0puEmf5E2dFCFBxAugNC2eqZj1rA6+SssvlR1JzU7kfEBIT6xiZHkLDqiofJqpCpM1PydpK6A -bvyAKLNQ58LLU5FNyFmKjZ9Ss0qO/oBSYcvvOdtHErT0tYJu03w7TxjnyxdUEWUqgY9sCyM91agq -oic75oh22S8803xFT1qHJCbWLEZvmfFk9c7xOftWMf90EoUoG9ifFuwyKLSZrJ/IMVU8UN5CtO2t -3LtvfJyOQbE3cIvlqbHw5mwn9+IUIix3i5b+hfVDkUFt98JXQ3A86GoEbY+hT1A5fK0rux/+is8B -9fjoVc8kj/bToXmAdNc5YZBioratJqO9Lqqd4L42Ap7v2+NNEGeJq4WwIdQmzTO5mR4h7pNpJ3jS -LxcvHpm/bMD+g2IaE9jP5bmhFp/4NkNg642XjNTL2JprVbG+RIUZoTT30vZUxR/tP7K6SxmUc9oa -ktRak1kFx1ke8yIwoMlw6d1ZX3U6cCc8N9++2QtNY00nQGBq5t9PBFxqQLeqbQWQsjQ2WP7EyKKu -9mam2nvORJPZAgQKWMR2gkQFbxAoJzojb9Lq6ulJLZ2d3v/0BbWKbBMbFpFzcj5Ks4gAwjVL+fpO -aP9RCI1+J1Ee8t5BpE6dMr9isLxP/ZGaOfEMnvRQqC6X5nkoutDmJRM+vNBrpXVtuu4tBCPbHuwW -OialAdaeKTzGeRfybEAL+M+EuSJbRYygS8vrGrpl+8bbpssP/2g7Lcm6zEK7gPeyAYg0C1wBOyiY -rZp9D4mjuUN5TH8qcE+HyrKYTR+hIPDQeco/W+hm9c1d/a3HzTD1I/Q8Y7yeQc5V4krBxPvK7Ev4 -jjQ0vDwxEi0swRF/fr70l30Tz5Fly1IAQ1MjN1Z86rGnmbp++WC5Iv4k8DPzESaY8dVjX0o/mw/J -Lp9QMKL5K2Yn9vMqnb8Sdhaz26SrthXeLKzLcQ+830TH4zryKjLw+lU/rGLYHRfQ8+m3g4BgEg+s -TbW+pPcsrDbKGQGQ9viPuiYDPbm1GwLuc3D9XmX6547ko6o3/Yzc9imD4UiDzvlKW+wQRdrjPGjy -8SZRPCBrlIEsnish0CC2Uls7Yh6tvu9tQYvkO58CTX+AGH0IIy4Va81me30iRU5QBDfFjgzsu6sY -2C6cHw34awePaK7uWcE1UhmfGSqFaUAM1+W4h3/urgb6hlaYVqCyFwcmn0+XUg6lhbRbFmjHDQo1 -YA4L6auBZ+l+e+IiN9AuPuDM7VVDZfCnBgFdqojo6+aK88m8VubQPvLhnP5THBYwo/eBJ6YLP6vP -owkGAG598cJpk0nKET+BPaAOPk341XoQqvx5DD0+vWpITBKYmu4PVyjOUVFfNKQ8zxOWKAdawOKe -ijTPOZeAtgOZoLJW1C8SroGCFOtLUitmbkVJLV3lzroxlCsUjtGUjMwFCTy0AOcMZN+DmsbKFj5Y -rv0dx+cuJuujeNFag6e6r4eMSLh4JHgbDHPLqtzIGk0l3b+y0KwbQmJ+0FNG+2zGZ8ULDkBU1VVb -KtwwKw9Ifbl+WlX+mi3uCQQKtdSzoPUMot1XDvIXFWiG1A+Ev+82WXF0NgX1HydG6E6v8NRJJ6o1 -BXHy0vh7v1uD0YX7dtVXkQrNFBEBq0uY9MyJLAIR+pX26XSINUI9q2GEIphym0wg3eozPb7cwW+b -Nlxuh4WWc39nrlNA5OZuBzFMsKhssx7ibmWFmodgNukeYfoo2xe8JU38RXWWuNoh732iP2YS6MAy -3lUASUARx7W2jJRXwUZ5svtM/Ur8f5eQyQFkJd6u6S3VHbVeoH0uzfF/Q5cRhWITJ6hMEijpWGs9 -u01+lU580AHXgTbQMxkI+AT+IpWhDFyunDhF2ZK1+XlnwZ+CT1qBNec/eurRVzvR7GDhwwfJmSsE -LPrF4/dsw6ge4Tsfx8Fndpq1Jszh9Cfciga/hTBoRxjORQ/14zMO7FdyOyTT7J3PX8X4UIBj+Bv4 -byJtJfm4AJVsYe709RUGehOzcLHEhlMlIPx6lfqZRTsAy7d0hoXNC6YCwslURzld8syMSFMl3+yd -2S5oyZKwYfiJ+ZwBm9Ty7Ye/s13otXWhaETu6VabHkYQtOKFVYAg+T3fkDuFGIT1H3ZzsBxaF1cn -fEpAI+X5+VwEoPtUn6HUaMbmIjSZQWLBtxafgxLR4Ch3rPn1L7yvyHtZmeq7W8Fn3VEdS3Wfoz3g -pXCx14pwyfDASXRVre8yznxl+gQFxxuYXVPiu+e+Gq5C7fm9gCBIvO5ZuMIrzFF3QvlakibnhS3k -vjlYi5y2ZHzCwi5PVAeuchSwrFHvQTXM3tiLEtYgwLYV7nUY2b6LxlFyjZcr5QI6zZ/E/FgBSwtz -wKYufYNkDvQTpW0W8U4glMLLj8M9yqfEq3GVgobErCrxkN+Dhw9gF7KU2OfnOmAlRcMZHdqO4li1 -1EC95Gst4KaE9m2ZN2XpNnnQxusjCNu59ThbEuhoqQcI2kFTKIzJ0Z76BuiBEp5pn71/ouVLyF2o -WRqufEWqEGsn7GrM9AEOgVd2MK4M4Ah/3UW1lnWRU/bFlFzQKGD3n2t5TPZewtL+ow/oj+bT1AVl -NjSubTmCfVUkNoteQ54UAD4Kjpbulj9JuXRMLM91AoqBh+XxUyDRPkdklNmowyRVwOuSsS4tWLgN -fVvD9AyBc0aS+nNYv4YvVqlVIpE+sCqpGnxR8iDSbxUYTKlRLdJVMeNKmM211WfTkc18VSaHphj/ -N2PePL+DdcBKsANwJYnGRdOxeYuwxUPr8dB577eNybDYPjmZvgRbMm8CNWuD4JM6X0+5qDmLC3n6 -dKn4Mz0d1bRbSEQWokUrPTIPdOE1W6trjZBKTOCIsH3e56EC16/D4jNkTFOHJCft3DCX5/h7g4/y -BbO7r+FzK9nWEULmLhnGBhHVteYZlOYQYJZ+itnrU1fH4mEb+IyZ5SQEd+mkT2JyV02yNLgUwYpU -P4RpaAM30dbs5LOnyDIpdej7ruEhvhQc89CAGWrF3d5awxYJwmkMn2w7keKt2PbJj81/gD5wbRMI -GO7aaB1bwhlUv0MNHffO9ls7weyXINwwWIUlYNT7InOl9S58NMtYEyFhUq/azLympGpVebcC7Zka -96gw8Q3FE/aHjthb3vlkGsJlXZw+4sNSugISl36oi0/pTXmisolz/GhP0sr3aa+YQZhTTYjrPKLN -UfzDx81LGnCnmWlnjUDFTvaHULisXI8NoykJAvoPTpqhMldTB13ge0ohzY2Uhkv/AfTszD5E6AML -R5Qo28ObxtpNRPn+Emc4+ZWHQrJo4KiKoUrOHkVfLub/iH771Fi476lV0eKs1p2RnnhLIqB13X1d -Msadq/xV3RqUt5Y+WXHBvlhx/LSBibhFgAKQoO7Lv5HCnkCffivKm+wyGDDb538ew6KAbjhD69C0 -71bDY8k1IEflh1rRCh8RSI0h+Cox4lDf6/l1L6/8i9hQA9m+sxgz8D/vE52q1ahmJ6syMyf8WVeT -zMg05sf4Vqi4mBXmBm2Id1gCVqrjPOglRAA5UOh6C3Y+E9Kzpw4HNBE8FTSESVUIQMrtyCsDp+KB -kRMe0hfoqVS2rXHiukAjZ0KnMPM1HrdfwJgjTuwTGnVa404R54AyVcz3JMLV9mDveSYLBjTc9Hv9 -5PBMapixGm+5Ji/X9N8R5xUpVPP9weGGu8raJuYgcRcuau+QndYnPogosL+zkXub4Zv2RuG2I/4r -DBAO0shvlDykMdV02ClU+gq4/LzhFbz6zyPxvtOcSJPvFmpf86rXRObE5oLm68l+d9MEtq7uYZtE -x7EZN37RTj263y0udm2Sx157Pn9dK5ltx/hq/Sz/7RTpBiKh25yMj/2JlIT0DDiDde7YAbi+zv/w -j+XcFGIn0OVfHJtpCVSXh645vJMugtTsAVLNeqHui2ojhKqSiJkmxHjy5d/fvguMGjxJFH0Cs5qk -yLe74jttUNSDpccnd4ZOiHORcwzTcXRlNGYwXw4C6MoNXK2/ZuPxdbu8u9DAEdNX7w9O034/aDnJ -qlmxBvKQ1e5eGfAOFlSQCkEI8deUPahyoDdalGggEYm/lL0e+STbp+XvfvzktJuApYsFOlkcaQ7X -jOEZIHP5cqtbJ3JtVLHMsquzoVkOSR0puhjaz9hnCzOCpBnFVw3lNzdFgMc4sESO2gN4Qxsz+JIG -YVaZfi5mdJHLn2oplA4Rpa5QWEkGNgzyvzkzybi+7QSTFTEoVgBv+jCaPcjwHTAp55sGwiBcO//H -MdFecoGNwX091es34VKlD1QJrx1XOXCCjaYR/+UcUVhGt2ofx2MbGk3jA6ctPt0anvl9yzw/a+WQ -NqKTPovr1T2Vg3cT56Q1YiNzjlQahMl6QoeOjaC+xYJ7AAIzxpI81Fb78/qsDgcyLQDPS3K0vDBD -YHx8En9y8SQ5pMjMlAdi9E82LjJkiQ8TwvBphrGB3+27FcK5Io1c/RM9d2cLqeY3VDArOBFCBKww -urgeaSm7rLBdFS6aKZsHPycjZ/4DpqYo7i8GofYSs0ksAaa+WcZZ7sEzVMDanpwsp3lFqPA5wyeX -7jOCrHqjNLqrSrA8/ij6dTlhWQkHHkE63erkmd6+2nWne2C+5NmrQuynIePEQbhu+ygQYau2TGna -C71T6tDp1i20h2IeR71zLVA5Eq1br8bobPNN8kas2wif3apvI6JuqB665LEHUWqbnz2j4BCjn9bK -GOWxjgGGqWMOB5x3Of5x6FcNII8HUL/EWd8i+a1FT8Dp6lXuzdwtRQnZ+ga7rM4lH/FENbRYBJMU -UMe05nO5+kYK1jrYxsdIcKDSuIssAwp4wfSUjNQVYOR+zoNCceLhkRHP76P3QS2+3hAFgUtk++dG -lPMB+HewaPyTm2RnwkFVrgDymWkNBaOQVfv+3QldPd1hx5aCc2RuWPZymHh46/Dx4AVKvG+QKJTL -0hlLCi9a+OBi2l/JGUItZo8so+x3Y9x5RbHpof6sj8MxBIl2Hz1cOw2Z1kbAUc22ddG6iTyJVjxQ -rt+da9c9on9kIAWdz0Gzc5U6KS+CsoIjwYqk5RsoalyPWzYHPMaENOgsbz0nDyiM/v2t0x8Q5T89 -LXVLkuYVHm6sGU9aii/ZizXjhUh/vO29d78c4QMXNRlvx/Zyau6e9ukha+RK5fi9ZTt4RqNFcEVH -bTmYBUsfSou7GpM3S48f96j4ayo/ONJkDOVYN+ndFxJnZJmw3c8fE+JHZq5z3RRkbT/SlDc5/jsP -uYDWMmOl+BhsDbTFKm/PapJiEqQ1woD3j35f3obB20rpF9JZA1UsHJkgXZrApQoRVXBhgMLHHdkk -Ub/ZrOThPLsbPPYUv0cR6Ox70dbOtgw3K4W5CHsJjQ1s13SUPrKglgIIH9EW/o9yQ9UG0PJLyI6L -uIwHRd2wh66yoCrr4aDQCsYW+2nyGBjkTGRLOk8RMUO+BcAOH2DxZe0mbQqRwZu06kGfFTnK2eM5 -x291dIj29zcCw1YZO0gdycBecMwFE7swfAGy+a6Ja/7aSgw49PlGsZM3zND3V/86IgWCljvd1JJH -YjCBBIrZsC9ZqFt4VeWBMIfHupKFiAN56vqS3neidNwa7clB0Qn5PdRUfx7yyjJVPyHum+BW/tUL -lbjQAyYML18hSSraM4cstaPzmr94JLnV2scG4JGF0hD8teXCrRD0oyckp92HvgKyZb7SkUmPtTFd -+xQszWcZZ1HWZS2+8eimVkq6R7Aa9R7I7Px89nE14uybtltL5PMDSSEtaDZJBl7kZvNds6nW9qoy -J9+mX/fxLQc94JoV+eQQnLSNjrx3fO7a/N9UFA7nATZJkVUQ0KEzReoA7jQwEbSRn4u4DXF17Mn0 -7eyKMtXF8QCvyiF3eI1zEfNvjfA4kwa6R3Vu85wPL/IyZBsm0doKNylbIKtYnPLpc9J0JLy5SrwO -gKlIpDzbd9D6uR2xNPk2Q8Pjc2FhtQX44+sGbI+6cK5CM//hg90UbRQymzZS9nnv3djv1053bDbE -7O70UWNrUxprqAxSdbDiJ22Untfiyzi3MwWAW+kTdwRLNU2tMQXk6ylq6gu4cDcblVqb4Q5RXWXg -zZnm4CFGipCfGXl6U+eGaEQU6yNqLxsYRjgjhvQgJ+BgtDLIIqo8fqOUL/maSqA0pqT2G4vnwfsg -ZDrSdoVZhqcUY/VVi6pz6NVRjaPww0GYqJwRjGcPdeuBcPsJzYSODA+05+W+qsIr8A7kUyB1JSTG -wWWl0d7TqWqyndhYAwOAYILQFjDpfPEFhvPbfZAXaftCitbJ9hUfeEjcisvpGJ//7z90oDhUlAgl -6dxd6QIks9rSAejm6cUkvEdwyGItSlFz9f2GXQRT0/86tREC2p10msFzboKkWATQtoaSsI3B+CKU -gva/H4fwXxi4PMLHc5q7l3+TxQ3ly9ZEn70wrJXu2XMZIydUrytpcoNi7vIjqw/ede2lZX+066eC -8i5Un/P3M8Z8swQjddbOHJJMKQPXBVcpU7iGXisYngLHSKVbYb5IbUKw2zcDR1khSta7DRC9CgD1 -CKfk77yq0R4S7zhgPxawmcRvtVTfNYRrlakmYmXOAtwkK6e9FVyAWcCyhg0TroDcLC8DrN3viEv5 -aYWya3g/mZpTCRoKWLouaN4vw/2v4GvUh03/jDl6LQYCournakn+BjNAjBv2xexb44yd1WeMagC7 -gcBNO8E79Iog3Wd5OYJcgAkVIjAdbIRCDI/zNGu/bb2ObDN7DmNR03l+k9OWtdbDCARgQzPNAZeJ -IBMeYBiDlger7+9GLGCv0FkSHQGJvSVPQkCkC50DzRTvm04X6BwsonWrP70cdCOCceYfWl3vXawt -mxvvmphrJmcYzr2Es17of6cAmGL7NYwodrWSX9DGPi0OUkxYFioegqakZstVFqhYjWJ+UJoT85Yt -aXJnBQkuIGrONS13K8gZViA/kSr2nXXwmFNJQdS4fLe7l0MR7z5dUqVD7NB6raWIZ1CEtlT95I56 -zVggLsd650pt3ZSwJ4m46ttNEZ8ThgA9+LzvYRMVREPKr9f59W1liiM3x9bJm0m7ru4rd4jseQhF -IQTu3GpmQGl6nEQs4XGy9fK15klzRmW7lwdjU8Kk0vX3+/eyeHDrsk1gy7ISfUDr4I6nk0s5gI7u -p+JYNXXpJWJrZ1NZmI0MmAm1rS8VnAwVCyyMU5C0StzldHBdfTdm0SJpSoDAXjfGfVYgs5PEKS6D -fCCm+GQ+zdhy1KbBJ1d0OKcE2aDQaqMb9x6ZZwlQCON1wPuNYm6zQJ7C65iYGvH4p/v7OwMWxeyK -MzCiA5S8cKme0reoelxDagBrBdyCs2D5cdKQPgiIMpGmYMOAeiCoCRHIJx4knjAV5fJN2qqHH4C8 -8uin0odNboLMg/xZdcFc3VnZhsKCSI9k3M1ePIR6KoeEG6rDUbbXlmJ11CK9evlLsRdPlH/cwIZf -4gb7hyuggcNkjBURrRc0jm9fNsjgPxuNEwEV+MARcgQTAu7vjdTowIhISK426zpf3U05esUUazjy -Q4+DdmXFV+PjYFo23H8dKq/m7Gp7E50KaTENALLIpuby4V7hLiBSTJtxozenpUHIC5jv1KPA8eL6 -pujJhQ5CzDe8QhofxE8aDV2SRT2kMTDmi1hraflOfltIPRBASdovxtb6kpozDQxgfZ71KMO1Tkt4 -1ZTf2OUeJh8eeU/pEk/04t1dP1dlXNntFuE726kNk7WnwTrmDk4lkjm/hy+JjURRWYEIpVwqER+x -gP/YdcllseViLfi6K/+PIBftWNJnhcD09l2Ao3bWALBZn1hrLViaDPCZCkvZhFlh3i2V7fwFfqQf -NlD4r0EigSg1bLBsUqQDY7PEQY7aSWKkpktYnMGzDNp396AIHoCSYvnfuKO6rhv006lQNpi4jcEb -L77D3trMzhvVObpPfeLgolMYyX81qAlD277ihbK/3/40jveXQXPi8+uxeCnGh5/BkXj2AaURUQY1 -8Fvs+vxM4AXI594CYMCe9hBKM77/IqTPYK03lZWLIENqNCycgl2A82xebZQRmqfzQDSPz3+qlJfu -pkP3/e+M6o32kw/Qo+OVLUu+kHXTavXEXmxrEA3wQbULguGHgjjFfHs3PEiWjmYbcnBBZmr9hn4E -mfG+cPm7LCeMBVqRlGp95zt9WGBaE0Ydi7H0v1VGBrzb977ISZ0S97g0trTStMfqyVHh+zSR2pdt -H3OGQ4pGRJrtvD8hx8oQx2Pzuwg1ylcdNufOKpXIVB6KtFnMCGlDsAPAPijQgV+FOdktMgIe1h4w -xHQjtzHmPqoBc+4uHNStO0Evz8SzSMoNJoIY6VtQdnop9RmJNB3BlBiyzs7PtRaifrDyQGnaYstp -YQ/x2JQjIOmuOw34nIr3ZftidlphK6cSKjR71gehu5RKgs2Toinl5qk6ODegdo24oiddL2dFWn0K -dfo5BxfisIdC/ETZ1BHypoA+z2cJOzOYq4d1yqelakxELM++jS6ztgLuQhYVH3GpB5MBf7iwL8CG -RZnQel5NAd72mZ99NpMGRUAM97bhKjOuHtdjgNRLazpQIoQx3wyso/N2IEH3cHJ/IJ0MzASM/Ch4 -wfuSrunRBYr6/zL4QvWYyTMV6ph0FS4r3CyR2h0NMxxMyEgoJDS5N28c8e1s1QtTDfxPAvOamWpM -51C/hxzQFdUDB7KmnY758p6og4dpF+Ekj+jxzVDu0r0TAhO0+xS2n1vaAZVOf6Di4AVwgkw+obkd -/spoxsF1temteeR9KzvxPBVis2bB9obMdvm9plfODVTuDHVUU0mSDwHTHmwH2XAYwJGeXKjLh0DQ -C0l3/utooDb30FphD2SIjrRIZHJnIbqOkYWDv8Ny59SXKSd//uvYijkJGjCzzHUpO8CSQK6nkNGk -ZhgemxPgdlCLPuxk/fefal3rNLYQgIOPcK08PJcfZMtA1wY3HkNU/lkqNGxy8uTtYLdLmymjOiAM -pSZJBfgcNCzPwsvsJch4aNsV2HxjjV7SwMl0b2fubeN/p4EQq/VlkPPshvWKSbIs2p9pcBj4yqNz -sOWwa7x4Pp/0tFGrlU4j3XWv9Tp++lLWj+PGC5M0nnh1q1UuwdwycSYU9wVfD3znUgyV86rFLXtD -rTcUgJOSigUWAxwUZ65bHqNQiEgnAP9C8x9HZ0ABypwkpHTE/D5KFbmdgk0/EZF79mDiDImr39Aj -J/EI68rU25Kj2TNXSKvQKbr4aNIWmlMwHlA98gdDl7xwDqiI5pmw47Iu6i6sdtdqA7/9YBHn154g -omUuw0W04kpGv/faCOBj0GuLEXH2C+jlBSwjnq+4inE8SNUW564PP5f6D6aH6p7ekm/VdGgtuEjo -5vJwNJuKANtRDB1mSOvUDdo/s8al0Zc5uV1NcsBpeQs+D5siuzzPvg+bi40px+/wCOkFgpvcwuVv -5V0LDu/6ODAxJ9NTAggCGiay1V5+CbdP+7iqPJIGnHibNOaOnnS46eRm0DM+VPdlZT6dG3bnNOFO -cGb8yh35xyBxDytFP0HwMe6316DTF8JLdiQMS42Xi+J4xcYO+ZBH4igwGHmBthHasWcNTnzGX52b -2DUzu4qfqbXkJ0lKJNxu0SGZdbP/Hsag5cMTmwM1p08A5/SKzMHS9xRgz0K0YeGYILSsEyYf8vH+ -nDM0L+KOZ2YNlue13d5KQcgJkYWixlRdLfO/h4366YJC6146tCNPBXdW1ID02vi7ZeeTJ2PWSugW -gE4Z1na5SXxlTGJGSiCYbyk5dDa/dPq7/dl3zpm0GiVUh9z8Vnu6HjgkwLZRqE3M/aRJOxbDs5kh -N8X+AlZavE5qe/UR+02aAO9IqlqHkiBgS3kHdZL5xbSdJl0Tun7M/N4unqLOfrQzTmEplQpXkeQo -dcmdkbKuxWFZNKMQNWbEJA8qhttazJ12XcHOQ2N5CHShl18g8+xDAAQ+21zG75egsIj103rTNZme -HgejvoMkYQPZIxw7M67BvF9z1gxytm8H834XUYCxggllVXxUIIxpiNYiVBH+HkF229b1xmCcpsOd -7bSnSRX/5d9ZKf+UwPz5rENSnyv4w0WWGhgY+SrCtq0HERJt4SGVdxEya4yMXQ3BeSUw5oIYGasD -qi/JEZpeneYE0nkc+3Qpz7sXPNlhTog3mX/lwPY9cbLJcFSZNo61glVESiN3M0j9RkZjvIJDK1tL -LdMmen6dNPIOZR2c5cGdpn4mEG51OYUVkLl8QJScd8UE1+6aOzVfzV4gEMscRhNfWqahKlHIjZRg -z1S8W5BDzLPXBwyK79kUE6D+ipq0lK5vro2RB+D53Efi54/De8/a7S7KOPkEhCN9zCXvh5OXSIsw -KaXRbKz9tvGunOwTVJ9fO6uIJr6R4v3+jQqSswr6iY0JbzkGYpKjAnHHrqJi88EC/Vbk0GQ3K3OI -9xZiX0FCRmvvO01D03488UKDDB7wxzwEwCwjSt+Lq2HMdSg/PVwIHMnE0ykfv1NL0I/naMY7RBEG -k6OKvnwdOcNhdhSkjj1MEQ1g05PVYO0FzDgaBmdu/wTeUfw0M+RT3nMuMRCm7CXOLLP/NIH8V2Ld -iRSwLCUgxhywIDFfujLZoILxhJFHO4T/sJOkHCnVZzEigFAnW95R3lMsPGh6jpSE1KVlUQ19iaGI -9e3r9hb6WonCcIJtrSyuMWQLC0MIMb0uBAa0fYjsb9ivMjnmLqz6sfa742ECQqnF3fEU2guvVEfN -27GIoKai9Pv/YlFT/8hM7RqV+mPs0lzLUWXP0XAevI7+5Yne8gocs+DP7VYYjNfp/DMEyfm6SzOE -UJiVeao3vxyYe0kHEZzDVv0PKZ6A4wQSmrSnnPGrmi0w/LlgMcDub7l3hVrVkYdo2tyV3s2CAZKD -drVKE4Y9ys0nnq9UqXQqqE8vAPyDO//z0HB0ZMYijx7pWK2GEKp2amYFL44a7qMbsMRzLtEsLKOg -01lrFCFfIR+E1y6KfVk3hIHhBFQtYaXZVe8qFENuqZUhcDpd/4qMt73xx//DbHpwoUBl69Ga1O6L -WxtjtZYLsxT7xz7R9bJEismdy6oJNyU8dUpPxlEFtWFP7gRGk8y5omzoWLzd0WdmDNQidFBRwAuA -0K+Bdw9aMzMnCYUfzejBJud5ZiAJ4U0SFOHBCMS5Kp+vA5vJNwl+caqfGcfGgJOwj8FML7gl1Gbc -6S8a8jMiOpXLYhXV/5qo8wYs0LFO+gL1mbWrgIqxMM0C5w+dT4d+23YkLnVuqxw0tmSNx9ZmkJzv -KbiIdYuNToDnLYvS5se35jTMmvX7aZHUx2PmiBQQ+3ggwcU9p6Z44vZ7Hpj/hTEZUWRgKBCpH61k -nj/uCPpGKxLcKybjuigo6UG+eJrGqOhxPaUz0qIoqbsnKRTfwNw2qlY9p7MeMN3L0V1vB+cikeXa -spw9hLBO1Q6S8onPvurUNZfLP3IIXVPQBoa77mJ/AjIPUNVcFMxk5AkCmhKFkBCRsWGNgkDTU0dG -8h84aQ8Cy1igsI7iXyAMlVF/zHAW5tWJ+lfcTuc1GpbhpLVnEMNj/Fw/WYVJlwOU4raxbM9XIzqM -QjnaCnFZrPVyTYXewpOCMuDpZHseMRxOJoYnzSBI+qcy6zl1/ov7UGwNdn2ZenHak/5xIIbBfBYw -QJw7ZJQVJ9O8WyLypkVTZkeI0kc7CzAEe2xUepV/I6QJfzcrcmcE/eMxvPRHQoHw3PmppnxyekCI -9Hlsotx7SQXd2B3I9vbLU2XnQlMF4W7siSIPabICqAjfNcl/cbAqdUPPYAtCLFWwOfLPSZcQsuaU -PvTShRUHaIgrKKGqMqNoZaCatuCUVsa6p9LsDl4ZmqT/YhhftDJdnw1AIUPx2VR8Zc9f1gtar9An -HTfhwLSi5pJtj0DIKUEIK6CEjkt0VKiV27vyJyb2VDQTvPWdcc3/6iSdJlzFPKP3EyjqRmj3Xhyo -J35MKasy/6lM60tY+qdP02pvABA6o6NZIu5b507gNDGS0pNMXYSYA87Gdao34bQabxiyHC4isuPt -44elfGkJnDnV2IhaX6iNum1DlfPrm5yyB1rkP/81wErB25kEDavT/A4zWi3UYoBW5w4r+g+BYfCY -LLfIQUe9alMb6p56EC+YAHHM3dTV95COHf6vZ5/kBd7jyq/jBIZU/A8IJV0ZVFr2RgnYdVwFbZle -4N6mKlKc4pFQYTL+L2FvwrXUQFxOkDsHh60BFqPaXoELSxaBSOe9eiCPvrI7vtEvMqa4Tpa0tpbB -j+bG2wqwjQIH4dubsLNZMiaPcbJ0M5NQhE+N1I4tdx0Uf7yOMKcKeUhCtrru+7PE3izQpT67Xikt -HKXamYVG+1nuY1i7TFKY87GJ2g7NdL6IvcY1dnWk6l2QCDxNSx+X82qrlSB3KMEc5yrLd2Zg+Ygi -yfoJVkIjjCYEMf2LnaNmqH1gwqqHTh26amo9AY1U3p34GBEjiNlUebnckmgcgNoDBf0g751I90jL -pcWa9STIVC3+K+HzxOlvhUhTgUnSxnsQULJLE8HYmMHtKYQDGADd/1z1m5UPMYupSRX8BXAWxzdd -LTQdY5SogxOGzEp5FZGJStX+bA9lB0QgQg87s/YMG3UQB+CuJ0M6bDpofa0HhnORJsYPWHIIL0+g -DaNKdKLssihpeOl/ZeJsWg9cj7NNnh3wAqNJXUsdDfPjWxEoZ7rk6hCCQCuSnPNkkLbBzrgYFVOl -ca72rDT4vJydpTKcyJvNl42WMv6R2sJnyX8DBu8WdRbS7FC1DYiU+r32AQaLF2GMNc/lSytkQ8rM -pDJQkh0o9qxKPlWSkrQ7cB9TTGzK3ck5fe9jQyWdfuZJW2PFsUwc3nq6sGZlVRDP+VATLc+zRcp4 -BsqSHO1inEC/sxbNpeL5h9nuYE+UFSQJ/EXaNPdeqTJg5j8MNstzRpLAyqDMXsaETXejIsb1bcOs -19aoQAg9cWM758nHkPGik2FMu2KkvdqzNtb+5U/ea92EaCToqH6euN7DoJoxZwUvZli7ZuwpKVyO -UHnkAOa5b+FN6c7Q3D2L58h/vk/mpE71snk0MVzAzwEhRW0B0nphfLC6Otz5/48RBO7pL00k3hBu -ioXZLIKvZDJuZzNmvtXJZhcG2Ybvf2+FTqW4CiBXD6UjESkkvLJpTehkiACk9FBnw7q5NDoUPLs8 -3M3p5lC0mstDdLE3LkJdIdWEc8uPNnd5D6zW7FJ9xTntvq5ELu9w8MbNcbfjXQnp4I3FyZ2A5Dne -OSz1mpc9wGR7hwyaGmnYnOAsZmjDx0Rfi4eMtJVFUgaAvSILfhIAZF0lqMit3wbu4VS23W2jTRoI -PpSoTmaCcp8+XAsr5N2OQdFS7A2v9xK9nFlbNpQ0Mr2YXJkXOIbnKWLCWXayx2w3HabvQ3Dzrd4N -AA2+zKfE1UvrOPB8ITUnWTHrNfumYGegvPS5uz5ExZSCuI8v6Tn4xj4Wtm6eEKqQJfCTTQBqUnMX -qLQ7VQDsHTC3/bxQASZyChl5RQUQuUJ1dgeewoKzuYn4as9S+N2fjmZ/Mnq+rsjNSAje3NgDSmnh -LkF7JptnLHBBQB8z0IFfEOKawaRg4fNd/kD17tj3xq5c85j9KlAm8gSCBIY0jnBVNo8sjUFxmZkY -nYTV0HNVXnvjhVm+9LuDxcpx6smXukyVEQX4YuD5ngrB9JGAyT3qAkkwXMPg3AL/S5OByQbAeJ51 -LIuqTd3Zy8323jshGDkGRy+vIfZ4MjkFhizVOfExxsOTtJT30Mdq1buCvQd1ePfFSLWhXot352vb -GrJOS70sMvhJZLYmKu+f2yqcX3DS/PN4rjNYKGT8zfX3l9CM5c+WQlF3J4PabGC5N8uP98aytqSw -J+RF7mPuF9kYbDQRx2NbQtAhdxeyasnNmQZTwEVUgxUyVAbxgU74tqJ3z9RWSehHVYVkImcVhKCV -Zf85Y4xLZGGrOkFOtxB33aX1zweWslyxcZQjl1k8uEc8divGOh3CQ27LC8T+J9rp3kJ0ZUHKfCsq -8Ij27qiFd2mVqalUnTpCDiPUo4m5q9C6LV5lnBRp7ISnWhbhHrHciHRTVfSIQ0iYRI8IZT/pBnPr -ipjKgdEHVQpoOoqMtuXpKsHZXev6ocgZC2/V1BaURD+2dVxA9Oz+RLJmYhbZWkoOU3zqsDWfo72u -1d2V2HV2xl5e7lnoESfaJ5f/Z2GbrDa0E84ZCmMAoiHFLM3WYTQLtR9q0sHjz6SIbNLxnEG+bO+2 -msA0ovB5lH5jW9EilnxFwtUrhJn/kaItrKLtVuZpJb6GW4+6ccIr8auTTCLDkpUibn4AqC8dmQSU -sINAEyKNkl5NUFEpZEshRG82h4pSWjbl2pT+eauOGSbSoX8nFov/lcu/sDO+jQ61uLozcUHrMBVm -ZcuqAm3lo4hEz1+egxWC5IkWl9UyXL9mpMi+voijYC7AN6w6btpBDT+ps/D1ccwD3pPYyz13+4BF -bKoz/6HbJdwPWzpT7YviWKrqIrHGmQuJNsUQAhn848eR/R9uZm8NVqGtMsZmh+U9s3mx6IAAM51a -PaFKVwas3al599bBIv9Xrnty6jYksKNiKa95UkHcLjCCiUCClGVbnDm8bPmHE1x4j4AoUM4y+DMT -vUyuq1x15coGbOubY1piPaaJctZUAvCNk7gRU4VEeyvAHk1BaJbjAO0plM5ZOdet7EiaC2kC5xxP -UfPNidgTm/DQTADCWlel21KLJ4/AxLuM8xQWKlCeVsjmz0B3921eMXsvUHrcJjXJ2wjv7kGcESuP -hPiBLiQM6OlFuIuaeYV1TCo9BFgNi7jfvEmCkHWBLZo8nb5gBlV7nffO+HS2gOOY8cVZMCodmqs5 -Rsa+fjiQE/womDcM6eetFU4Xe81FWEuIpeks+G1fgoO9qGOobFSXNIKmEg6yeE14oV0EIq1FXSbF -JX5Ko9uA3ObSbPHWm2y2STnwJzHEFukWvyVdiPFRed/vvwLJNxdHMXx/+a3vWRcUSL6OvVsi74nL -vvNNb4zM0eBq4teENBdf5w1Z8+R9SF9YB9lwSxyH4x4mHJyUYND4saixWfA3psnLcBz8eAg13Gc4 -2g5hkhNg8xx83hHOyIJYRiP7haNEDv/gJaxSOXkIRbtdlOmesxYlXL8UFHmqriDBgtD2F8sMrq08 -r1Wia7haQufqrEvucqxO20JE99mnJ448UD2Hearm0y/0QrUCdCH600aCxeULryq9k2+dhGz4c93O -Op25SbJbJ6+/g4qzgouPyx4XCN5/Hh5p31m12CZ7bUTPdc4m2eivl8aef/40d5x/tCzix5rOONjD -bqVJ+ZgUbh8WguNoTYrRbqahW8PR9DlhtDQBAJ52piis/S/bt1XBDaWWBqF/16++TvyUlIIKVb5T -NldYYdBwJ40mphx0JtO05p6WS1TPgiu3g8OESAY6YOT9s32ELyfAk02IMRq4ndjdaDMPgRkf6uyY -A/VNoA0BVhK33FIirhm6fX4/6G1L0laqvNXxzJm/zBUOlootKHqUWrLW3tq8tXqeI/fBfTMoGqH0 -6ggPGxcEudIgyoDLJBfUg60E/Mf0PjoOyKqJBs80vj1vu8oFTbMM8OshtFW6wQInn45hLVFx0+tu -OcSwVzFIIlajTfmuOeC+0bCYYYrcNckFt3CeIt6OXMv0Qms6XuujzLvtfOndrhKVByyyxo29IZqF -Vp0AOJbOy8mJPjFLy4xt1VhGRSqhjNw6bwjllEsP7IIj7JW2eCZbd3waIHnNrpt26uV1eG3svT0g -JtlO/vM93wfW7YV6GekhwcnwyKzf4Xf2QlmZa2gUMB5+i/8kNx7Cfyy2+6lsVOKZ49KY2Bc5Hbug -qnW0+wM9t/u8e9qh079R1RZ+QctUM/pHCAq0eNndIgcO+JIufP578xIwyTthako3yZOko7KGocZR -4IQcjVp4cKi8ivSDbjbnce1Htcdhz4DNv6O+4OpVTeJt99ouU+59IHVyON7IgOKQuPgCs+d1FmLt -pxxak/p6/kESHal6P2TL9kM6AYSq4tVlnOICl7xUsO8i18bIwRL0VgGzn2znleEsDvVIW9ZHkxIB -4t07X7qHK8c7BlfmW8PY0VMLv+nwbgbbdJWY36dhsUvY3faNfHOTad5LQ4tRQh9jXZn2ubjjrVu6 -676BkUdm1WA0UCklgFBpESTzoCjgx4g1zZuOoBcR65vPc8C8BlvPlDSNrG6s75NsaiY/a9FxGgvf -tx4QpmS83c4RyNql0YGjVIJ5kcZGZeXbK49dilG8jcycV2uahJmiydFgkDRdT7kqLwHGRRa0Xtkw -KT/71jheFenJlps8Yc7ECItw00mdocj/5mrm+GfKTkEsdPKBqBT6DqZDTNfqEIw7CiR1ZdntvSzg -SfEq84yjVLi29hf4bwNdOun1zE5PBiYyrvga9FjBz4Sah/Z61OACW0pVV/1tWtEVIi1cM4UV+yid -ZL6OlNRheqoy/Dcrfpk+3MhftHVI6HPkZxyJgqHxFgNaw8clxuUWWdIY02BHqK5sreZPMXe3Icyk -nXEYAHkYMl+GnHg9xIv6m9BLAAPUsexSjhMlW+967cFtBvpGTb4eeiWUqYDGScMKcGWKL4kEDsoY -JBMnpSp8kgxGuhluxGY6Aq/dr1Y70VEOIczi4Fh5HYKdyxMrf0PhEFoVpmznTot5dxTQoYAizfYV -YT9b5XVvuhw1RUTcSw96t5MKQWZqiDQGugOGMGmMUXX+JG3NaOfQzv3BqV0vCa0gAtU3dej4F47h -dPv2nYfnaygRQrN/2d8VW/ruNJhVo9+T5K/jTXOnJZFWGdKm4UQx9kfUjuIXuPYuD3ZQYWncAEDQ -1v216oAIrElxx0DVRK58TGWfsABTu65QzY9LSdN+Rohl9RB9KvMIG9Ge5zIJtUQHhobo2BSIAQ4A -BwWJj9IWLGcCF+NfAgytJ/Em4vruXaXfnUjR3RIkqCxH11774dtugcaC2ihuL7h2d2JsjZ5tC7h5 -pDh3dAjShRjoil7TodvUNfQ4aeV0Q2HYa8WsqXnV+1vBjkQKBXRKu5SZgbW+OaKlqYng1ezgPSWH -jb677Z9ftYRQJWknF6nG7X9EWEW7q6C4GOGmWXpvbhjyqsnz7fDF7v5K6n8gCPOgIOeVhM0aiXXW -zbeIkbevxTjSzQ6IqUqkH41aQBJbXeU0cIznEdV7NWmKgRVQFKE/kOaEgoNQ4O9G9ygFrWRTrxJS -73iCedOmDzBv0crk/DjpIxDc6L+H6DyO1oWI8bvy73DoNeyvx0GbXBwsU02JinlFGnhyLQ27cfmM -+ne/s5iWHLvUpQC1uCfOHVKL9sDT16MHNPybq00T1FuwG+xS/vNwSF9Ef8T8sPNPL1At87vH8Xz4 -AUflrgdA6gMRdF++KbYzMpgZid9Ec8/gPD0jSB1TG2/kNeHEKoBlfGYRe0x1+JLcvai3PZZ+wNkt -YEIIFei0gj/ebHGMmfD+V9k92Cclb7vr/LXOQViqSbSm89A4ffqSheWCFeK6dtePhJTwk1ROzVfs -fgxBwUR8Ms3+EyCInOxR2djc3aH3kARPvX4m8uUzBZ31Z6xz4XBuXj6DUVOEqICHV7C/cJ3UOcW0 -DqL5lN2maHob00rrWYpp4m72ujvR2n2JfA/x7r7uce8YavKPFg3/UOrlQNV1zx98h4NECMq6ZKsj -HqtgtuXBP9AlpyIrPq755KAXDTV85GQFJciteEdWL3XWXNCbhWVhqqDSmwLyAsTrNhTGc42qNrPC -PrqS+YUguLqvffx12RKJk6n3qBUp/gTzyF5N5xrD3ZXmiyIdZrgVL4lOoClUgHfLvh+ZLHpsSyxY -Trf8sfSf2GsTw1eZiJx2mA86uKuwFBBP022Pl18Pa0LcLJrt4Yq+BiKWD/qLS4/KzsAoX1aBSQwE -7SfpcqJLl7dswMNkbKDa6v1rk3DYcmfU3ZiKzIGwQ5Qtsm7ZoHpvt64llvNwTyf3oivC8lic4U1a -8bdbvS09WvtFIFu6kJrtVK8lOpPm/aNoHQxaJrZpNlA2vvlxpgxMCTVyyr25HYnxwAIZ4YDReMaf -mtwjjiV+jIv2lRVZPBaXpSCZ8pnRoAbKrgz382OCJ+BZzM7TWWGPOaJ/jdx23UQRgtzm7SUbqczV -fkHs4mJrmvJuzPABoDQVr57xi38pjNWRWBYJiSmRT/5HRmZiYAD1Fdo4TCDZ6jHJqqeNRMs+kxFa -TbJ8J1Xun5VZAXW1A15VfT4ahwoP42yn4GC+iw6hy5ylVYyWd60oEAVGm4FSO57Cv6Xn7St4hbZ6 -rDxJDcVabaKCWSw1A/oriQd2nIxA/920pnOOi5/LiQt3X5Opfqzg101pdFZOpnOPrZdmMF7WnEbQ -BtZUADgJZjTTPt9BedYa9+duyjyhM8voTN+JPmlKdytzBYWmNYheI0+yvirafpuErMd+M9ZTw1n7 -51qX9JJEBAvMYR2QxY7F5qUmVl9liTNeKo5IT/iFn/e4M3sBparvgWUEGyrtOCMm7Zu6B5fRaRex -oGYjgYMchdACqpH4v0e8U9MAGWea6OvXzEu5phPVbyhI8fXBTScQyHh5uvZgmuml1q3bXYDpl0w8 -wtcJQ1QRd4cxmIJb8n7iphYCGaH9nc+2xykZkJpiFqg9+rWOcU26MtQ3mKWdP26WnJ5yh+jv20ZD -x19kO1iHVPpq5aOrtq8iVXda0fyIpIkM0ADOuq0QxetNf/lEEf14zO0UPBDQwXm49Eni9QtOev5h -gBM56ykm2x1gfWNAsd+fIhfjbUDunmZ3WIAZfiVclPhN9/HxDk9vUhVP/E0hhcil1Tk9MFbL87Rh -MFCvXRNE1QAtlXRiFdwdxSgAJ7rmi/7C2+ABalZxV5C5yTF99QrT7IMGi3gQaVMWLVwctU+329/j -Ze+awWwk59MtKYAJjtJvGsUCvGm9Q/pY2XkhsvjcpLAw80S/bi0E1Ki1r7t9/6o7xMxo77uApyvk -NrVLmvRv7C+e2K7cImzQs2a6TAj/f3sLc4yvD+VX29uFkwsLXCx8iP7Qdbxx3BCjrbDFWhbgRNiS -55ZGVIptFkz6daBhXlqwzApmVKi/Giak8rcoNQnjkjGbvZY7ZmFE0fNcFGjpgTWFBhu8u3vOLZOd -ggy2BkSnNaNZ4c3dUwb/0Wz+X8QYGNdHORpB10B1BD5I+izEUPIh+aGjD8hyi+qXQku0L/V4wE1M -9fmBVrKerluvltZHzX5ccurj0iH4LlPfJyL5xTODxdF77H37LkT9jaPFNolN+xjejAGPRXVihxSN -8GgbZ1Vy19BXVOcckxfgAgi/LMweyGRAfXFRWjxf23hVu+hwA7sNQRe7ytTgGtjyQVUrQ7ljbomx -PS1j73W7QTxApjmiEFCqa3gWyNoVQpAawOpqpdUMnc1xouCs4Goq+I9lJ/CjQI7CDh9QyAeXzCcp -DGw5zOZop0Uh0opx0jhEgTchJ2vyMOd2ZrN+fc/BEqsEb8/PikmUJJxbveFdIbORbkTMEYJSdKIu -mUr3ICKga7Z80O4opF1irv00gE0zI31ZEy1tWdy1cJO2lr40qT5ClsrLeP8FK2Ji0kkpF6hfyBSH -jXPa/KhqVDAaaCVvGtWlra1y+lysYTHGxEYKuCUQBvxp8s+xjtDG8e4a81p+LOsRcNScht15oDWQ -xWFGkO5OMrjZy+OPu4HWkxcaC2uCxJa/tkJWQjlWE7K/FSJCzo25qHNpAA2Qp8mj1EaKP8yrQBMB -XlDutb4eUjLfDnblAfV1TNW+pEHfWfzTmdSoi4u6JJXzcket0XiwORoPAQIyh32DF+JwM3qeM35C -tDxQQWz9zar35m3D26sNEVMQJxnqqRdJvuMHEeqVJ7r3avEN2fYOlT6B7SY0YXiZo7yvUsBJ1nDJ -VQmDiHmC5aFNE/l60C/ZJ/0Kr9Pyct8gBWXOD8tUAgMitFvhj1hTA1/xUiNeKOMULfeMnR86lryO -G45s8hbZEtZBBKzSvR0pJxwTB2LjAPWIoX581w2nxgq4nJ2leQslNofmrsmLZi3rQBiPwfx7k4N4 -M2XjhI9Z5jrz3p659KMP7zN9Hdz4HkndAcnvITPi1LNsWFUs99f1HjwUbYTcX1Fa1TnWIuG0kuNF -BSvPi3XteEjFyTBGRbF/WxWdwMkDrap/nFgaXfcyR0os8ZAwiIZI3PXvoP7oqBwVuEu+dzgcafFs -wvq4elwl/Rd5tHgqAraOVY4PtUnSWXBmFlZzCxTbj4EkWtXilhv2InkrN+rW8eSVLLrYS7ipqWa9 -Rjq1TCW1iUf3pBVtgoae3ZFF77ctRtGDJGIoyF60ELsfgHqKUKFx2X/nBNdUsqfie7KeKY/qeFAQ -zkm096PQyv6tsJzfIkYu16dFKMV1InDMD83yJtHpxPM4vcZgxZvQtDeILrmThp+ROqD0EgVZUHQx -xQtiBe/duzMCDu32euGyql9HlYKuw7RESdnWMNdtSxaubji1pMaMLcdVI7x7GzyrOwcLYAVOKIvk -OCuwazP/F0Urjq41vihjCUHFCw2LTOeqIM2XRSNBt+QoWMAvNvDWxl2BuMhX1/0mwlPj7xmEskxI -8AYpZOGrHJRDawThmNix8qK1bDdYo3/SNV+ANpy7YBExN1rqwIaxUWVUmIbke/Yj6Hk5lke0eDkg -nK0QizsoCAxH6Mh9cMtrRfr7kYXdWDv/E1cEVQnuXD/A4diZXjchHShAuMDC5vqbDOeuA1f04ex1 -rmvnwoXz16DoGwT7wlrjgZHee6/5LyhDUp1cny7p6BdGAS9apA06jxWNm6xerAH4v+jzv4gqLIvo -SqLsLRkCedH0zPxda1y2eOGHqwR4o0MzGaTEbVpzFRlvIMtT42hT2qFIzDoMjsqgf6GqtYosAc1n -5FvK8Dsj6X0R2fr7VMwjC6LT0vay9H4GilCDOwa52JFQOIt7unEiP8BJE0xD3zZoyqP67k7p6oq5 -M4smwAEh5WqtMSv0RJM5mhB5hB7KEAMD8cfB9rmQv93gdacxUSrvwtVK3o2PsRx0eYWdQYP/921R -p7cK52fVz3dZnH2lEYpKKk6/7M8kPkb96SQtDl+EGBaZy/felsyo2N0EE6DTjcTWge45ez1NuoJH -7KcfQiuHkYZ3qN0Fznv5eDWnUx6K3HgTQTjVvncOefCGXRiHGjTK+uZHhHBiHphPI8An2lvfiSE0 -3M0P8GcnX3TqGCtkICM8XpyTHIvPk7UYqpGrAcF8SFIuC82TVfRtrn99qrBa0w6qW4kPrgDWnmpK -xmWa6Fm8Jq4rRBRzwJJhvg6uBgUZsl8gK2hfJmOlG5rO5G2vobivxUs8rDZpUB8/JpU2hjKamkjN -iXWk9z/oKs3fRUrXl7yfV2SbGELsmSnTZ7oP+3Mn3Dh+A1VpHwcbObUlW2uIjUEaa/2M4Pwd0/4t -3J8C/S8P9kXRJcRsiwZL75oNaCKYLdCqbPOR8aPr6xQR/uR5Q6QSD6LOJ46qje55AbkaAO4QCUYX -TCQX/YW3APtneYByyQV1iMnYH/TRnniDWpqN5RMxrKf4JCxGZ5KFZ6lzQdgGZPncY0h83k0SBbuS -thJjoJMreNhm82n9Of7gbY7qjZuP4mIdXC/EQtnPye9xkE6OE36fQY4YTgEU7Nq9dlXYDL8j8fMh -uKFH3LvzU/mBYQBhrhe+ix1EeVl+3K6ppC/Dz+ZX08l6IJdRrb/GQHwxxxziyT14MooAHHk/NcCN -JDUikhjwjfDODS4M13d7bCyXe0HknvYgOyukE23vhd3r5Y1AxHIfF6T3NvN+t7Pmb+TIiB/Gi3Oj -+vQmfBEHD4qSgq060H5mdh7UAexqPjk6cm2Zy+e5hvDImJcSbXn4dPik8EC6fzO5g/FAJXWfRJMT -jWndwRawsLNq+yxaD5OxcHz3twymZuJ7lSRgtTLzYYtF42t6m2ef7PojRjQyjd9ooiY+Xwj+h/3o -/AZMonSXmaW57HSa6Z1gOeMr2oUHGBr9+fW2NJ2ymyaduKq5se78JHL5skQPp3hyNRqIHkApoAaV -EvAnVr+oE3SxmC82C75nRd0aGxVcKwX33grHWTajZaZDRd/xANCjxc5WbpXJv3pTWkUQRATzeFXa -7HhZlCz8AIaZRBfjDJgiOO5vSnBWLRyI6DUTb39sW3rxoCt6h8GFrz58najDalpi/xKTaNIKktBR -BhbJM7rd/Fn2a+3+jLjJf9V53wsYACPR/qTdTwWLkZja2ZzF5kRI+osQv1EF5SiMyP+kZCkRDuyz -s4f5+X9T5iV9wpYDdsAm6vZYpiDX64hFLua/ttde4BWXwE19rjeT3OWo+U9vp+u6cSdbsUiZjGa4 -DAmNBTt1Lmph9bEOKBe6mGX2bi3mOliXVfYasVoom26f/gW+foJYk+j+WaQetHlm60puo3EFhb+F -VWyhl4vnhmDiHNR1No7RinDSuYvBx/gZfSVz3nphi7G5BrwGwGlbKak4Dn6rh8utWKqgcebBMnI4 -HMZUnYwEFbeCOIB0690VXMp7JFOqBP8pHD83wpFoEiHX6zK0/mjb9flMGr6MXc52YTdfHj4a5OO4 -DrSO0SJQ36+GpYHFJLavIwTs9Vovdw54iejC1cqaR6goi7TGMzQcxjYHVYKKSfQVIs8gICpg0t9q -7A+sUoCorGmuhE4lb6aMgeYHh/c5sbToeHabaq/MmAdpWFuNtFifY/dKan49N0/Up6h8P6NdVP6c -UrZ16tpjKv1dvshNdXx01r+QTjFziNPa6FxZudPUfGzhp8f2iTqquL77aNIgzjNJbAj02I92X891 -WcojAciyjpkYbq4xteQGrYfppLI1A4ZbQomD+rDpSmDJZB36rChvIdkzWT7sVWr56+3gndBcHB8O -/cl5rOjXKofnW3c/U8Xxxa6TdVUEH2PP4kntkCwQQDHVzKJw4p3MUTSXAXHtXqA4cZEeuJjgyaQv -hZOAd7hYBHfVyH8YptEnDbrA/m4C0Y5z7NroDy+Cwm2dD1cpwuSo/pZBktfPH82ZYoucvyKLfMv0 -QUDf9fvG6oIlL1bnmNRFFuknzkMDl0u+xsSK99an6t+p/a+bxN8viYnpECa5PmBylX7jFf+tgDaO -QOWV6tblK6p3j5FXc9cbTBG6tVCfYyreRKu6cbEkFEhpUJ4fdxIe5fM0vIBNmItD+Z+P8Npo4nl1 -a7DUG6NV8dEjpE8Lz61SfEG4yoetiTkq2/n8pmarXg6zclSZ9rQ/Ur2RMR9ZM5yt3oe2z5W4YK+O -joEQci0s+L2ysM6wXhogoBXCebrSeAdQ6NNtPlGJB1C+jizl4jdRQQscgfqCJzvtTzOT0dAXFYh7 -RrFT69y89dKJKqAjVKlwWZlHCnxVmgPtWzob6g+IjFp3AXTghMnWbvF1yC3zRAGppwXdLq8upm0k -Xvv1E22QbahwPUTlpdafpSE0nx0CHoefELhGzK55KK3z0FhLfEYEEaxwuGmEiITVVXZ1UO4VWupO -PYHACAs5gS+IHCd7NTeqihIJzAjhMjsHi8A6XD2v1c9WqfqPLgOr1uwG6FDfNrGrj09A4sBKpPGv -XyZXSv38oslFqRyN0lsRFmo09Myhxf+G/RY87e7NQSgm8z8DEpSoVk3UHjbvSKR/T3hIm6DK5mAN -O8mUXJsa+j5rZq1+Te0ULizdWzPcVEHO68F3RNH/tzSy6zRpuYg7uU/iFsCT1r4BxvYeOrprwtQW -QBJPq/X7sMlewtKoOgIJhKFo9KSwn6i3ii0+KzEpc7dPSgvDCh5mhaGXx21OcXRwFIHUsm976/N+ -TIQAQ0cQ594LSbIce8Zxu20gJ3QYE05eA4aipicq/ZR358+yXEAI5S8slh6sqUQS/XLnUDUa2XL7 -aAJDrRcGHbVlfrCFbMCXDTvqOqePhTyzdhKVmc8G8H/bEC801+SGGNRcisfyQwWumYjFdM20M+lZ -JPKkhL4OUDt/Xkb4K1+04Tb+EzjubEZGnjzH+VdPEKFRNssJGOgxmAghxjN6H5xeQDdtqgWHt89D -PJtKYuzvzTGAIGrcbp6WgXyYphkt0wRrDFI/8AV/A71QGSLtpix1VP1AmFNHVj8FaRCY+Jfb12mp -OtYEK8ANUpHXw6jbzIxyUlNfwgfufTbGJRMhKPm9L9uAMYtqwP4KL/fwXxyIFwPJNO0cZ4Qhr2Ix -w3sklnkOWLryV+PI9yk49nvOgi9D0jv62rPqiNUJM3KE2oqkG6/Pig4KSfLwcM0C5AKImqUqUn5Y -bnIF4lfexfp3A/Q03HLUvsIj83huzUc+zmR3XIq9G4gDNdUly6hAVy3PuDQoVfF60zkX30aqV5v4 -F8Y5tPRH9F8YIkHRprxoJj1PMWPY1vKBs008A5UJ0asgXe1HEtBydcdr/TKQIw6GbH59octBCQoV -uri6Hodj7V8CBBEAKu1RodTM68NxfohNgpliR/pYowEAmMJ3qlOzoCue7UNW2AhWv3LLRHJcKkVg -vaex5AmHCZh1fX0/jF0ft7wEiJYuSipbGBqHW5nFle3NvcReqReEH+bMLfK3a5yxTjuu1xczoz8D -CFPSoZDVZgJczjVnoBjSzu2B2hKyJ4qCbWriVUFRwbqa4+g4dA1D9PuPlK4ACbI8Z/+yLeaxZTlO -lsk3toq9wTmrvOxw+i3KN3VlQSePUPFZfk5Gr2iJ/kj4Z90jsAg/CkRer/aymxYFLrk84si4AM6E -BYF7wt6bMDS8N2nnkICJR11QTytm2yBCbiRk/GJU5+tUFT7Q9bAXvn+uudj9jQ53+tN7ICvVGsMc -H0QGsoM91DGa/A2NqkStlZAtYnVjGNpdGyqhrertBSxWQhxCmfuY6C/A/TcDeQLsMubVcZZDpGLz -R6KlGtXf1aeux3n81RR3G7BdeJhy2l+h7hAwQKYpAH+ZLbP8CZcY2bzMCBmEy0U5ovjXbVHqh/80 -FdIWbIexee3JUy1xf7uaE+ljPXJpuaorYa+RhSVsl58c3rUjWlGCfFXZkbnb0QFb4WRilHaeeKND -TE0159OSY9PdNRT/R5kjZQAXApBceX+FCREHZIPFQtmLHY3rbBhQzJwiVRJCRxIJNf3J5fHdpRoz -wRky3nrTV07VYYFiYALUVlm2ZYTepepbjEURHvwnq/g9BWH+5wPVrsYDUwPwyXm4PqelFR+ZCFti -UOrzjQXhDvLXHos0iGyAIXxhCBkf094xjiBVeSrbxE56LAqJ6ebYDPB7rOjmZy3gcC8raIC0Hk7p -5/iiE/iHK092/D+FogcqPhFemTPQf9xwqIoXqlR8BJcSW5oD72Z2Lt7ltHeX1uT4ImZnaXP96VIP -jUAkbjIsI75ROhrvwsJOEndLJrzYCqgqcXFb5vEI/UZ/EWltEUdE6pFpwYpLjZg5/fQ8yj8UD24W -50VmWN+45M1+Gfs378hXtS5Q3dGuJNp8p/Dy2td8S73Se+KDHzhNmcVfZ0fE80ZK08khtry7E6TN -lqIlW37aWOJ5ALUeLJxvw648VhbrDdYr8mA/kK9coxbER+CHhVaWgQxz7lOQ1ObIz7PS3nBHZ7xD -v/lM36ID9DsmmWqrDXzsoZAXrucEz2v+IOEi8LEAZeABEt4ycINKVc5VB4rv0eDy7anZ6cSLbSxG -AVt7/WcNI1ehnI5THu9zuFsV82myUDcO88lxOqYHjEXSkHS9m9FFG2QwTULw9+jNmuiVqE/meK9X -KnJ3NL2J+ZJ34nLlromhWC/F4VpkdbT//wiDjkJHBdecXnhjG8gmzdIqKjKfGqgqW1RWdGwHILbf -DcFDFoB534MqMw1wtmGHBq4gWyF9k8SCj0nqCLVHFNhTx0+GgYtY2f76mk1RpcgA22loMrn/N3ka -kf449kUZp9nYAGg9heqeL3yUH3sBRCa3fqtU/b0n4Ow4E0Rj4x4jeQmcyi1V0j0qM3gP4KHrplzC -uFU8V7/UHP2S8Xp1GatSSx0EQuEOcAXFmQsXmSszpzgwK4UViATwu86BWzAL+nUte4DtUnLE+mjc -tuwwAn0bhMFPljM/KhOcGTp63Q4ebCpVIgmYIkQAao0E2ng0Fiu0FAxrbmyeo8sqO81YYpJ9ER/R -Zjta2sN7lb+oB4JL0lTl9CzODD4vMARF9S8SAdfeiasYv2oYIZFGXCj4LlFIZyGzNKyXLAkQNnSp -SxTtK2j2BxdcqKkIGupnl7JkQBF8RruPWqMCcS91hIZev4LzZJH/mx4JOMYmTiziHnt6LKX/IJlR -6K5Ak6aR+fSxzKRnxOITThEfKyIzUihYqcIGpD94NIOEYItbPAyMyObjuQ99vjYo2Ga4QOHX6Sak -+7zSSgnwXMtfHNJRXnGqfdyxWFe7Sc00wmnf01CFDDh+RUkXxKjzX9WlepO9TYoGOV/96x52rbmM -oual+6BEV/REBwrtrRa73S3LcboDVFYDHp2UE5S1sIat5AmOpHG65E87eziw59IoRSuaRzIWEALp -8D2bkUkmjIde94xa/xKq3Zdlt0jTv4OsORUpegqLO/hY9GdlVyLpy5XmQEuZoWGNPjXCqcFqGOMe -fIMMTwuBI2iQUeWAPQpz/kds+cdEh1icrZm81fFyaG7kEJzHuFdKXVSiiu4HbchP+LtpA/0VHXE2 -r6cXdCaAeMd1zlK01/GhkuRv17/m0i3dMI2gYu2VRXXD0IBUceBKGetjtydzHBJNxccUDyyYUqGb -Ms6gPyc7ozmxhXxwHKjNDdFT2+2uko5cSJCmF3X/JnA+kmo0ca5BsylH9Oh/msdAoreZXbWOL6k1 -QsZHxdQrxNBkrSQiLqLwj7C3poJhQTy51yKLil2Qp8kx3M9nvMbydVyvJWkfNthLyjCp99i1C/h5 -OxCXQy9WQVL7asmPEd/JvXl94tDDq8k+hRoISA1zCODCiExV2qL4dkIdDfU7AikqJuwg3NszFWGZ -hR4GLasNqzT/boy08OaSMXWzemVYCg5wv62z7frJU54EWNLqn4ymRkuuIHNbIiJ2Zp6JPdeNb89L -/TD5KAgBVu1OcmFs6ghe88AGxw6zFOk03fOK5dNb+cAW1lFSoMUyys3WViLwLmpMxbe5njfKuVy7 -CuNADHPmK9FAaSF3Wbq9nvbj3M8ZmpEDEXg06dwGw4uOfhnHkktSgv7l3hyOEL9uagHhy0HY5y5w -nF1EV7YthNE5h4D4OcOUMhRwiRCaN0c2f6o1jdRkgBMPiudlrNiCvbQMSaEK+pCiciyMybXhwEFM -egZna3Lnisq27fniTxeyuxzaOQOLCUu4PIofTdo+EXxcEUV2jiUIGAZ0uVBH6JZ2Fa+L3KPb525I -YIYGLNQtPNUO1yumW91aoxz+fgyc6iy++cl4U6SIfG/sdtIFFxqh6AKwV4RYpjSa5qUGxSroKBed -7LGencqKKQ3CXueT9poVVhYmMKmSPr7t9jbv3N3DlwGAS+dhm9iSNOYjoBKbQ1UEo3EZ7j6hy5W9 -hllDKddCyB2gXgtvp59inTVwMB0EjeMw1Y+IGiAjr5JfBBo10+JtIX7KWiO7N64goSKYhVxEbyhc -9hFCmPSiqaDyOSJzA+0+3TtBrc8SlwzMHf0WxssYnA1fHcoHVTJ3T19DF9gbixFvFhN4O/SwEQ66 -nanIcvJ0FtstqNv2fbnyUUV3i0n0bpGHQXlyfO+9e+mg9a5dsD2lWMwehjxVhJmiSxdtM+zuh8to -hMcl+k/kPKsewf5IUkxwAGtdJ6xqG0Q/CZEk6rDKAXy6DL4iOaKhJdFdkOQuGzRYTaKrzFDppp2K -AMKBwY3LF7YPzyrfoq8eaFBDUknFI2RWCOnjOkmd/syp9v5vsuCSIvC+VxXVKFh48AOP1blSkifY -vmeunz6nthzNg/n8w5MY47DGqmZYOIKHsWh0Q8DkHj9X8DH5h1Fs/1ztZ0Yay2obnY1Jua4VKKMs -Qlrm1HunRcaqFqDwugLGOD3amF/pc8X5U6JJjuS/gyeX34zSKSVGslseny7e/yuJ80zptSdPQ9XO -yXrMfpSbhWOnqkxQt6v5dnwEFmN9nbXMJcKAC9O94X3ivL9ed/f30m96sd4tDpU8qeXzf6KVZ+dL -Xil+UioV8JIfWF0om5GxlZE0+8eqtJjXIV7BNiE4XZAMOg/Ee2w80aCPudpFhnHbHndb29YigYkk -iIZbfiCkqefF9N83lnsEd2DTgeoc1LsYGjmcurvXzSdihbVf2BeRS3W0hMQL1DKFmFLWhQRlLk1w -yJ294HBGe7IMPU2WePj6hshyJrsRmv1TzjFHspBVWYJD7bJVbHWnQeX/fetV+CcORexUZQuXygC6 -f2+gvhjTA6NBZXR7QzeAdb2b886tNTCTyLbfdW6KN3Nh0uxdDa2DV//rT+nFPar1ov4ALDGiZ38R -qh4z15XGIQwLfryIPMuNc11hLa1BC579F5h2YYm4FACVDKGjRuX1GaJ41OxD2E9BtAs5TASjQIpU -wKQbn2VRbKES3yl45n602jcJNkwhwQtmghroqi/MFVdQF6eTsfU2sgF7gJzyx4y/6cfUqhOy3Qks -s/ER2H+OCn1W9y9/h+KerneASjBeqOnKybrUBd+8rie+J1H3mp0x9gGfwba8ViVVYgoWx9j+VjQD -zcN4dSFIsVJQkaepncIwZlGLeKfNbaEwrPa+8yciJ40K9NW/xNXK1+B/G0SViIR/ikxMRuOzd8vF -JmRSCNM0DF1lfRIQZUuedg1yLONbM+nS3X7AyAI1iGWed11+neBZVfJDDDrOixK98tIEp0ZeKKq8 -pA/PgmTxTBojfIsezoBHL321U2CnFmZV5/ycCUTMnvdcRFaNpK3758OkD/QqXzHkWCeVNl3oJ00A -xK+ULQnuhTYiH3J6KETjKWHxOFcoeH/bCAtKvYuz5/DhJCLvbD/r/VH4coo+4IDC5JbcndruL+ah -ZdVU7PhWQITZG0aH1ftenTzmkUAoDXnS/hNfpKRmguhTE9FY8k8XlchBb30egrZ9oXn6I3snHUNh -6q3cNZHOFWOa7GwsdH6OqspjpEK7eCQfeZhABEPIuuOHtVMrFeY07ji3834gTR/1lsvjqI3EFDNA -kNRk7VX0NdKt6jlLPybvXHx4pp+JeGLkHGi3Dz3s614N91/mEESdCZsCaUBxU/gwqpxXiXvxPz/o -f8XbaQyOJ7X7Rq4t89fFsDZAbuKxd/VbxeOeGKL8o8XiNfzvpysM9vtUGAfNU6HW61tHiEXlWLpc -F1ce1XW5UFMJscUbSnChbtckeHbbbZYtlE/W+hDI4JbhcYYxbN+yswaHQL5AdBv/97gVerI728DZ -wvdNeO7sLOSh67jxAfcWXgK6/OP5WBCqMSYqdrPUNwyr4IdGbTnL2FDzyq3NlFdl+Qg83tMG7Kxx -BxKn8lMfZRr+tY+IukXGUgGy8iIqeYgAa2RZaZWWqf/Yr5aMsTMcDYYz7GTVAgkLQAvx0Gb9HuAT -IdBH2/6qjizcItfo6vzy3h/lDygP1Gtu49/wNhQQZD5g7NDvoNsJP6utrKXCbpoCzM+F7CaxZ0Tp -LCbECEkaM9L+f2NMH1ZhsmtBRKkYpIBOvjNfMs4djhqDA+Yo4Ds9+J9axcVhHeFP4RGGpynxJySg -RrkZ6vexrgbXkSMskCuVWGsB1KuNL2yI4Px7K6C8DAbj2hqoghWt8ExxGXscyxx97HZ2h4cpbKCq -aLAnBvwE9u2zs6IGgajzPpVg9t/0PTQsCLwGtr/rBfBUXF8xXHJUk8cLO6iiQD/CdaBpQ46e3Mbe -KTQUG2+CFCP1bUXAnSFbvS5TuhexwcgFQzwyyOd4+zXG7DTr0gGsjs5agODY0lqs72SAfJ/QZ2CM -VbPnAcd8jvjfqqR/Jb0AXX7DsfLazmJ1Avy0+ioAMsJLv4i5D0SaAw4A0uUzkkpEYmqT/D0JCU/g -a3F8iZcNdYs9UOJmKP6kZES6xO8cERfxXDn1smVeMAwGnSTmxs6s4ba2TR2LQZ2WbrYxf9EJUdyn -+yG/3R+KZjn24du+H6AYxGSv9p3+7wrbK/Mc+3V/1f1vQvebgdQpP0iM3oX7aadoK0ZdsWMGI/VP -1ikN6SlXv32j/M1fA461a9wbL/s+Bjpw3oJHF5dZ0mIpdrk1H/pKPjgcaUqIeUo38ZsyQLyBUtx9 -+e+TGKvEBYEYe20RXCA1RvGxeAN6hbyKpviwVEFLGelC7/63iZHHopZZiuZNGVvAd2TLYBKfit62 -2gRWfrxZ2t1QBlWnBNqmthomzXQnCHEYDcG3Mcc6hbr1YbxYbA+j7Rw3NrJgveNtx30gKnN3RHRf -lsOTHbx9TnucS6bZSPE9JoJPgfNc3k3X0XdwcnQffwWekAHv7lg5g70Yr+GTbFmBzVorAwP42QrB -1zEBxYlOZ0QAC8VwNQC6bFGNMZrW6fo6Y9JF2S7SrOVSz0q5LXQTCaT9zENpFkRkgG+9+yAyV64X -9sMziOejLSAJ/naxWYKvZRrf3htLHZvy6zatariK031+il+C7U0mq+A1lyHHgNgUxS1L5iu10euJ -d5uH8fS53JPa2XiDQezrcLwg49j5ebRtzN0UxVaIr6fRXmbw7nikODojtlGm2FsXqF9YajoswOY+ -bvrZ4wEt3KGAJ5rQn3xsGwbi4+NRZtoY4gcr2sQc0MICsZg6h1lzTHChLPBVaqJ7sTVeLEzJqONY -y1xIIdqRsD/SF/IkoUNGrBrdcLDGsAF6tPZTeATRJD64m7FOvuBTXmkEhKy0fB6ybX6OBBzK3dRS -pwicksliISCGMYKvgiPahMdmgsjgOtc/Byd+YWLDW9EAUBccAD1dNHDvc2QajYGu7bK8Td8lZQlA -AhdynMEtYM4eaAJPD2aOAZK29Tc2uPo3+HdryLdfwpEu2LeAtS6k09jAKRs77/nZU3/Q9hbPwZPb -RC+DMc+07omHpxidDLfb40K8qDsDUqZCcmTZwsbfUWQtamxpeSOd9IYfFZW31DwiWivMuHcx3fhJ -lY41+S285+llpbSc0Pc8baVMWBHDq0ts0mHHC0LdPTPPxCriL28RwomY5Sb2YM4DdGUFBP9Y8le7 -e/4utLH98GnuM3mZ9iJCQFU1/Rdlb79fqIXgJ3oHg+PA8t+LPfI6mOt32jOu5cKfo6N/mGLhLuAj -B2r5E2b+9bkFvjgBqaGNlGZcigqRgwYCOSqXC8xHF9RO5KUM+LyBnL/43g5w+KzQKeENFd+DZO0M -1U3JMADYt8NVLNMAfSiLXhFaBzdZPuy+/pb9GZVhu6sk7nplK/pSFH28bUl3/MpcX31ub0KyFWmn -97Y7vkwVTbKdKX2zfohCXTMTTCBmJpHpQrdb3M3rO/eoY/xujb3xHAqX4BrHWdbaTaj16BKWL0+4 -E3HpS7M/3KLTyx5oazaEVOS+qLbHOuqzDrX6n4LJPS3ynLNhJpz3P4CcNw8KFwnDNZWSplTtfFTs -4yiG+5UyHDbK2+WKi6/i0TdHn+B3pyp/4FTS2gO+/Q1baDiwxjLRieWuOw3V8Be5n+bD4MILpr0g -qSYYTIICh47y8xkiroBS7Wi9mD2Y2pwWlV7U/JaEGVcbNcyBTgC05gxV2vlcb2ootF+7dUpzols6 -NmdrVpXW0bzhyGahgVIcjHpKUItc4WCHtxeDUU7wnB2H+weNbmO8cOQNFkqKOIWYlV7xZlEq0AnV -le7a4wvQmztBnJb2ZtTwIXfwqP2UZFc+79yecMZ5nSADAwzlQmkogP4SYC9Q4SefanQ3DF5N2+4I -HCWkDujiA2lNHEgC2cIbDw6ackESSHbCGwIWo3KqZ4x6rhIWy+t8DfehKpQ+XlEs8KcWq4Sc5lTe -S/5CYXdjNWARI1QVLhQek83GTtC85hA+3o/Vd2xKWehk+rcePrkrCkFYtcQJjouLxBdOQH8YgEFK -PouKYSk1zc4DDiCtcjhAi4fBehLORFh30SY/MsbmiTHppde0QGvbuA9qLWpLPKQtOCTpWJF1f/7C -GTrqQ+GCEUzuywNqgQYjrETYl59oG2VAIVuITE8iHhIUVvU6bt2PfzjhDqzmcPopEgIBpQEaz8Zc -b/S/NNEQy8xMfiKnX8HMSogD3TbRezdzhgng0jNvEJDQ06WudLaXJOOAcLt3wZEobHuBsKYAaKUq -5NncT9aBKgSAz30s+nx7Xv4gZxAVc8D8N/KB0NbtBmy3vBEXteP5AB7Yfrk+zKgzcC25IZTght5I -fQumYeY19m77CLlNBi96au4qw54TiGhuBsDrqheEkabYRl8nUZ7p9LplBA4KmRno/8EMCGQM/F9R -5Xtl4ir4TgDsUM9akZ/bzv+SIp1G3wMELFAdWopz5op5idhYTkjp7pu9nPNwesIFj3AIheMjFuPU -uKDDclwVfsLrCgKJeZ+5YnZRP0/hebyPVUXDrmnEd2jLM3E7oKbdVMbYNn95GuaC0uEU5b6yWR/d -ruh+1J04sRnuHFIo5mhpEUXXByKag631sPYWYb7D/ZLlo9f7pkkKYZm1P7P5Ow/tjBTRbQzpAPmZ -nZpuIvuInueh1sapTrr65EonKxQDp1M8Tlz8uMua9fFMGgyV6j0HfHFnnn7GZ4aUHJW0bFW61AAh -wMj7x86Yn5fsX9fCsWPYrClnpyL0fZDjrWMrXMVyNJI6QD/8LOw6oHQD6RmqvbduUvTtrueagfPg -fnLnBPJfzazNSFa8WzT4+eGIQQnjtktGzcXV4kbwHLtDMG9/K3lXRADwbYubMYv3J77Briuu2RB1 -ZaQlqoAAYOZkcIXhPgm4UHPLQyHIflbkb+oy8l5RfrIMIhpTA9WPqkAepeey5kFAepat2iJDeGrh -pTqOrt1wl9vwJNHGOQFxBkSTO24kS2TL49VxRNrkgnuoZql93HEE6qwEwIB+HN4vVwcykhRUZOi5 -Ry+gGlh68kwAkxOx+YG/LLQE5VXsWEgzGLTndX8XCZFqfiFMnKWW0GRp4G2r0nAuECJTBURHRGHn -WYeSB2F7RJPpjkyrRvVXF0K0EqRTWVCBGmWNdMw9+n+MP6I3L/U0sMO2RCUp9ouNrh9+c2Mk73k+ -R4m/5jWbhvqqUs1WLTTeTWWunqz6oZ1/gHLl8+NCUdG40TyGlGiePxItefU1H5YDP1xaU/WRJ3L4 -6Olcpmn+F0DE/tClmixobU9rPjKwjDKb1raiKytORe+CLMmgrKbzqFauo4we3wN+R0tilrRK5Rw8 -gev+Trh3I7mWoOyMLhfdIh8dQkLN3RQlH27J0Ru/MGEbd92rXaKN6+RBXcRxS5tPb7fsLRu4Plzi -XRrKrk3/QwlcA2zBDGR91ksEJVaE4Gwmx24xi9sIsnR9F1dqLxmKMCT65An+RaIHdDk1hybwvzoM -Zp3s/DM189gyAJLhWGgM5rsZmC4yV5Y2kHn4LPlxDSong77kbc8nzQiaARUwZB6obEWlZI+bt1+p -BQ65ZB4Sx54bP33LXWrl2oxDkCE7GIOy7GEbZ96UzloFZ4/YDpYmSe8KgUnevyYhsk//B0QlxD5L -tpT+wMipNsdr1u5CiM5I0ktWXGXX7gLR4CuA7//+QcQ61kMixa/dGoIB+4d7qegSdz8L/cC56lFg -KvzmxCVKVH+wX/6Dj2CqKesSG3257ftGh8cL32aMAEzWCPaqpBreseagWMqyK3xIgA3Bf8cocy3e -w3tY2duaIo+hwP2CuyAdq0gEVOrSgZE3DYAWbHtPEBPrNYEE61C6eJYCexHAYFZz+Sltq4X7EM9o -KEj33uDFU+wfxUQ+PRv5HufvNkh3rVl+YnRYCGxWmcmERxTTL9h2F/MDb4rCsRCdvCSaMIHTsvZ7 -9b20NYucftOHI2i94JId7pkfssKhuefoJLZS5vnjKb2/bk3IRxl/PmHF12RhwcIwBzdyHs4jvzEE -y9+PQD53mSzC36kccNF0tOQV3aDzmIPnWExACJhjhxcOn1FGvZ8XMJaufgV77xcIbC6Y2kFtsEBP -jhavVQL4KFGXaqo7q6iB5Fyo963DNdGI+hQK+ZyqTV3r9G5jd8Ohd7cVbxaAHNqoTszcA4mfd0jM -CfO1QLFIvgmF+c68u04phEPhZq+3FUYMZ/BOFcIY0vJY4MQ8jhv2XPw3JMVHZdoPdBR9HNRhMWBa -7d5FSm1Bj8Ih2CM77V56B+juIV0t5ueRBgy4l/2Zfjy6zjc1x2t8UqtZr9Qk9hzawvAjZ/ocvnff -EFg6CYn1Hfm5UJvu65PGt0iQbmoI8rWSU+w/H7vqkBlnlF7hACis12EOeK50mrB7DMNqKu0gkwZP -mjMZS59mJWafGRf/53lMDuMhxQYtSCxOHWjpXkS1f5K+Vy4HYLDHG86/9YCiYVcUiB+61lRL2+xv -usJr84/x8IqKMrizpQYHSD4QSW7EqVGJ6axCT8bBytbqTt6OGCWBC9vDmqYYLn27VHhbYrFNgmSg -DQi4Aw3v9jltUrdkCBRkaLUKKC3RU9ufeyrhcLZw843S6JSEOg0GqlZ1OLxy3y909Tsz5D+dq3o2 -DgTEzO7VNxtG4A9SO3w3jKTN29QeoN1MBxnxnkmzif5F9xePPkSuon+uvAyjbW+ig+knYSTTqF4V -GHonnDX1L08W78M57oUVggFRb4Gn7a9JX9eHgNNYXZMqzJdbPgWO8sacGMFf6F9sz4s+yH1E+1l7 -YCzlNkgMyggyi5TPjnFo7To9VCB0FB+SJ90rClSi6zRbjSC9RYOyy+x56ngZspeX7NMnQKeX3zcr -Hc4B1xO7qhDtHEA7sL1u43yyoQLzPkKyR+C9Fe6NLZWjAyOY6x6ct1sqpiY37Su+RuMDQyboe6D2 -s1V5vt9f1E/YFfg0dC45T77gxry3TASoss1wb/fmZTnBIv2qUyM4e+NKehUwX2p8eDTOM8jFnCZn -ZsJP/+wMOKZpboCQF7Qcp4O6EjIiqpw8AZMDqNkiKbVQgi+ogUgM/241gDFS7G/mQBkKvFkcC/ir -WTCWSuSIVQULW11aFjbEKHTHGPkT5dZ4X3bXrg4jF0lVCANuJZmmAO8pVnBQd6OpTCIuR3Oa/CNt -kz/oafs8j6UlbmgYkS1IcFtum+n2YYt5M7n2s+OasOc/UMNTx/zBlBPh0Pxb7iSyCivzUdL/LAak -kYX975rAy1CDGBM6yr2O+al/Yyu7mwETH0GYkxF1lLZQ8pz9rpZah8ZfDxTZBj4zVl6aXe5+BmvP -yQUbhc1gXIPtZp/ski8gLVXeZfU3k0bhDLI9BxrTynAbKoKf7q9ux48bg7fK7QcZzvDM8iFB5CKe -S+g89cPWYq/Q7jRPaj40BNw3LFQiDzjPzb0uUUgZax7X07t9LfD+Z+NewBbVloISW7YumiPuNbi2 -O/GUh6Lzfo+E5EOr6fU9j6s2nxBB9nghtKFRmP0hFK3CRGt+kd4FPoTQX2s23qemRGGZLuuDZfnb -bCDp3gulZ5AC5ynHScoZT3Eec7/aIcg6+f2xvm30hiGp6QEa7TZKsLP4elVvYZy042jvyfIkFj19 -ApZ7G8ggLeSIIkariZQhJhXbvFgMNiahRAQSMrglmbOV4iUCwA7hUqBy6C0urUPKrK1pfGEbOqKY -t4ijXyQNDHOGNPdrztbJPii8DFEf2Xj3hEdhZj2hOqyLpBYSS275wM7DBTCXdfm6dTDn5WNgVeUA -xfr/v7jEhlPnWUgvgX+LG4i0akgXbw08Iu2s1QLeWNw2hylpJZCj82WNnpM3r4fT6TwzGa6q3d1/ -Fn6FKKqPD38Qqjpe6LGjhDfvBeJdSFhjvNWHginj2cAZK/pKOzAYCv2u8X7MxBckY8faGJR2c1LY -En+f2yzjY81Wne3G7vo1Ko71MDzmA5+/O0/bRtpv25V6LhIbEut49gD5Oc58urBkA0dz8wNcStQr -tKQsyx1I6R+MXTa28hQmWoXqBwo2j2LO2mgSnGiScbh1luPnCRwzHy7VwscfB0E2UbViUFreQVDm -hYZvLCBv/hhuKuNmvoEWrw5IkgjKpHlsCFyPP4Fgko8Ox5wo7w3R+4dZZSqIWn6ezUUfB79NImPH -sdm+k0n7E29noaSxpu+czQyV5BUgBGYsTQ4jinRVBKv+cdBTk+C4sHIy/lx8P3GwNDIE+8y5g25T -W1SGEdkBsti+4nODMaBizFtwM2nFxwXZhqFD58wjAU2LPisaGQCz29T8maliv9iWTqkO/2Dd6WU2 -3q5J1njxelltbwGgPuj0C4BmNKTYZuD2eGAe3SOn69E9oYjGTqwqhztdMTGYXdszVo1eDRnZY6co -2nOMCy4Ew2UF3SIG3tdSDtsNf4suG3ACtMkyuncVYzgn1+0x+I9lxpHIFpepU520mavaBZ1+ucrl -NT+EGn8A1TpAuJDf/jJd71nUfo02GM/MGwad5eHAXxRamBtknj8z9YsYWfg3z5dvNJWHtvAtrTBu -T0CklkLuDJk5tiPRGlNPPDLwSZ+EJLPXs5h4cg9zwOabywB9F+LbZm3x2todybfIZcNVLVDMld9P -rhzLTwitM/4IfzbKLLMmQTxgQqF31MabrxT8K9cIZ1dylhMTnSz8NzmYC1ecoG6kJ0PjEPGyTFbg -pAnbvSmVJWL3/4ILQVFqK9d2T7+Vej8IX4TsrkwVyxMDdZrky1H++bCr70TdL8mhAJSuPuh1lqqr -rDASAvTpHTCNzfBTYIn1t1u+2mrGBsyP1LmCiyv6wJK7LHKfJPpx2Kgwy683vUvK2XjLnCIqwsE1 -4UzTIznxseqX4/rXcewlSWTNOW/0aEqKwThnZQRHLNS2ESd3U02Rni1gDdczdSk+FBVvYe59zQfz -UcfBnMfpj235WmlKlrsOV3JNqIzNZ4+cZ/xzeEz/HBT0Dtb8acuEEyJ8h/Occzi4A8cWs3FhBFH2 -5rJRLg5GzPCsMP/FaVDBjvUGsg3pPNbQSvMNpEd8eYks6CPkzBKeh2PgQStnY0QXUVwEyiIr7nyy -TG6BHHQFiGLjGo7h74PvqbW34Yyp1d1elGfClU8BsCzOvrGjG3g8Uh4RZ7GNWB54kgVt5F0lGP0X -YEtFLGtJVtRO0V+52WcFkT+Ncn5QcPatNU04H6MAv4vB4vw0DaANoIvOwfIRsyL2PNG950AwTWFi -iERbv570z0MbE3vzEOwWOFY8kl0ULExWOpzXxup1v766CmlBRpsAJbWx99uyJntSNLMwrhuykezT -UClizJ2V74RUi2cxIgPDYdPaIWWGGUH6BxSjZGYYdDswkV+v1bdcrpgHv/6Pmg8BOpAjqWMf1tzp -NGU4oEjCDFx30/8xJ9h0CSPM5BUbgA43W578N6F5O52cy75LxbtG13Tl4GTryR4dLA7YkwxhBjzk -pmswKC1eHITQW27WBJSzgykEblhh6239h+/iS79KVVkg+pkrhWAgl65p64waavGccX/HA3el6qLs -FLOC+PjL9pm4wqUL1S15z5rSzmKYgVHPE+NhzBG3fjUGa/Z1ffg8Qr3kqwbkNwb2w1O+JKXlWKGR -lflPo5vjLfqeetjbWmb/ny0+7frGELd2Igs4IpZtsPPzreK/1ijk8E1x1Dm4hHXajVzfOU4xWAX3 -QVk9+kNYWWPpKQLk4vAYdEc9O0yQex5BODEmrssI10lW8MHxKQ+pZdBGCR3T11pTy2OW3UfE7dGq -5SS073XlfK3R8aCM4+7jbkkz+bJKW7h2FPOe4GvcgwASrXzbWeGtHGRrTaseP0TmpX5yhD2jiq3r -veG6fALf3XJxfRItHTU0hSBbSQgAGMIqypkK/2f4FIvZIPqO8mQi3KBENEFEpP7lLQHT2VQfk3mK -ULGYYB2875Wckbuf28eJqOGNg0wcbtWtI83JXAymcZux/5D267Zp62TCxK+BEjdIkst5jX7J5dPz -NUcJwLDcmFxKm1ppeYXSF11QuHZy/SsR66x5jaAXYxldkAhFLQpx0WW7dv9Js3vzRK7L//1u5vtV -M/MfxaUqTVj3ehvqqKqVGxLo8zOt2VUsCtRp80ajHYCL96RVPfQnUBx9Nro0RT9oF7za9g== +gfxioMsHxxmeD20zbY1z4GYNSDV7z8w0EiXDlMteZpG/yN5QGcGzTAD2cC4qVvv1L2SxZMtWo/TV +TN0KTMP8LqD7ndU8kkzvucJKMwd1ULGEuoM3iKDSz6nGqOC5giCLIOAhTOL9qlGCRkX/rwv64aYB +HTeHQdF2o2J4qlM9ZUNLztD5AJzyJ262ddzt1NFH6oLeIXajueQH7Qi92vUP2K4mmHvGSOozjwxQ +5W2/pwBkK0AtA1WCFYQkcNxEVofygTYYASMCH40U9XnWItqG1n2tTz2W9nR6SI3qRKGSzI8lQi+D +aYvDS3+np7xuNOrwCBzT+OKOmz5mJMzULwsIc5u8opicOGRSPFIegMjMjehrL0X3zaNQwv8PLfEz +3Z/Qsy0oV7bJg1GsOjVBkIOfGeGD5xx0PFyqstgY4QPc4Gt1rfruTFnVpI15ISgnDy9h0RM1Efy0 +rZguEdk8X2mwbWwODGwtaZOm7TU2PqsHkmApmiKgaRxIYkRe9w78tZLJrLTgkwGR4gw7HEqavVu9 +F3WbIjyvjHtnFKy+bCRqJLoGmvQO3jUSjor1+93eB+O5HucggwIfRA3nh0ojakOyYFFhUkdBdNTU +Tpx/+raMDTNfbJgmXBVWsUO5XnZogr1FXerhL8g3XW+WU0JaCi84OMha8ge6kSLpqaNZMXI2aHWS +/RzVjLFOpuni6rWDyZcS3lrLv+MVAaHdyCboOMjvDHyGUgR/7w9P8dzaNCEHbqGn0WU8wJc/eAW8 +xd9UT27HTbBLvlmu0lk9TqQLM7Q3Tire4uxftHB7yD5ffqNP4VPhV1eJzUoQULHm9kB5gsYAWjyf +Jmdfj3bCcu3itGpuF9/aClV4jAf3cXnoHgSBROKRlitvqWik0TZb/BxRSZ5Q7vmAKR7BJaDYUHTp +cCLpXS86+5KkddQJ+RkqkKh1Pg6X2PQ5nFCl/UZitegim15THH5mfIGTSXmASjr37PahqFvkWX/R +EkKdzwgl23syJmICB4rm2WKKkWQXc+59R9vMwdiPAm2oEVhmFkT9Q7eTR9E4mfKtDoGHM1AfDxmL +QDZP6XrY8ouwkK0noJchrI2CiA2Y6TSmtgx2NpdZaPulw2S2HAEb4aRims+m2AnwgKowrqF4t3dL +HvQWmSfpvgsaK5UTN6MWv2KdUEBdSjdqblHTZf3KTb/1H3xQTJyEnEKdCUHwd8AIogzHKGTV2/V8 +r7wgmnvwpojn1endG7W7/XeJZzGR1P/lquUuWuWWPgYWG+CUIkk18sMBovfe+5Zp2k87nAc2dzkn +M77JbaDztgZiJr0ZmcMCyIQ+OFVqKoie9OuQOTUG+GEcyaxI1b9Ke9Z/F3hh58eAZnElXAg/rKRG +62niy9DXxixy9HMUfTzdPexEa7xg1GOEyimm3FZU5kS8worWqzvuvPe9rtWmhufas3htNHXNuuGb +rsDWvZEKzXpCxtFG/rGLZAD5msrwAQj+Xdor2dpBy+7nykWv5thqzraCQMlLEzq9jeq8ycGVlF+K +v71PAa8dUl962IYmkJOCfSPosfb38dEcbzGOL/QTyvxb2x3C2Weczgjq+bSLUwhVleSLV+uB+Bae +Eg5CRlRkp4c2cp5h1LAjiO0rwuimER0lSKSjybyaLJXr+d7ibDhUoZNUjHiVJs+Ae8PBSwqaNHal +NefHITSEH+2V9zxSIUpmXKPBRQO4YHqBgkxCCdJKAkkgWNc9ZNJmtYMbq7m+cf3XEg7CKgH+Kcav +ZrTrCi6PEB5YPp9CR+CMYBP61ltsInc0G/d+rHd3ow2Ph+sotQlHAlnKeSaGObneWxal2CGAwnF7 +Gatm5+UlaLxOm/qElV0ZSOKHOiUW1Yu034tAjudICG8B+haGQ6p3SZ07BvMqKYAykKdQYONd5OPo +WP0M0haRS7dO8wUhPfrXWsH0o8FLuzgfi/cPBGNpffhn8UlkqptnUYe8SmMxJ4l9uoVUZgg6GlRj +wgc8p247QX6vCYPlThlYvz2P3SE72qBkD1YzAULYqmBEB8Zod5PmCWcDZmcjTg0pt3q8bbFwwyqP +iOx6FQMALoz8yUJonnVfPG+HTS5YDk92rt/KWEzRwuVYH2JFv9E7XtUhoK+7bcajYLYD0PueuiC1 +SmKyL134uPZDbvMu85E7wU4PcYSQicErx6JfilyA3ajvK5a9uGmiIlkKvpLsL+JtT4d1QZRstHuG +7bfa4FohVq6N8lrhJ48spgrendst3OQO2XTWIGQISsz7PB54MUHnlt+4/bFVNCD1fb4VQXOTp3Ff +++PLTPlU8uHiMCTXPgfg12cwTOJq4VjK8ECTa2JJfNFKHln3m63uTuJ4QLvB1o91jX+GTz53MaJB +WksJ0AeU8PgkYlvIHaw/MO9zzUNgBRvZm73UK43B9yQb/6pPlhaLJvPM34fVubqJtXQ1OZ1WSQ7p +AoYjvUVsMlopI2L3uCr9ksdExztHmRFJ1tYy5t5g8hBEIxpIg7rKfdEpNsJEjEEKmfkJ58ur7TBT +0Wht+WSI/1eo4UMrZygDlFNuf+XYQM/3/ZknfCp/2MurrgPpdc+9C1ylNJrLvD0+PU4qjxlVRtIF +40PAdngJpu15OyhrH/jw4thbywZg6NXT2DY/dUDYJGDzYhFkOBOgdhZNkzymuZdI+JL1Awwv2N8L +UhiN+x0Y+E85SrI2f8WRpa4ySXaZ+c1oa0U4b85MRRxOCbcSn0xobfV/yQ2i9DDEg29+caRoJvJ1 +eyRjpDABft0I7TG+BUM7DjvDVjjLeN2fhpRWgWGKccvdHFj6A1mUG4lifu7+jIi9GBsLZMTAYmWR +QJAmVqJ1E2PKlkGOOHqxBQjGhWU5m1WuaRQmd0PPPn3gj3xOuEx/C9oWeqtNKVdqmmZy55KVLpbp +KInh8VcZnlosxv6PqER36xB6pkgAD2yHjjZAL63xNz61cO3rCxZRni6301VhyDdC4fbro623M5Sc +mqgReu2xout0wVAXZA6WEuVWjd/dJz0cmM2oQhu/itBNnxCFK29WJqdCHx51OoW4Ap8ub5zLuQ6Q +fuq1irqLcwa0vAP8cjXEVhJrnVVKD/9SR1HZ/vOtMVcylgavsi0LOD0TuJzf4tlT2YBRiQ54CzSI +t+tAveihJxvXtYtoEPBIxFKB9saXRheEU+KbVIMFk8OiY6HpIIcNDm/Lb1x20fp50fHekAGkV2hv +vzOPT1yucFdjavnFJ5p0eqNlPOFnYnJVhp+LGpKDXbMboAxkB7ghEQAh4shj62bPtEjGDJPi1JZP +t5jv6ZphM8LMtei7EPrbRKymrc+gTg+O9ohbjnUPMEdOQ66dLrStul1E1uBJPTgUR3n/yJ0CNIfo +5AYDOyAS8J+X38SJwO0BuS45vCkq5dTX6dXXHu8eEk9zD01ro9HNxcGfFbUmWpeNvz+ZISkMOAh1 +cMiPNegk6ysoYkjmNHfpMn/WlBJMvroeserhO6BGCHT5KLOwzfJODM36Gi/eHgTZNgwBxyCgptSX +KUz/zoOl7CTznn+2wweChxBq+6/jb4VaqTzJEfIMTk/blUNbfY/X8h45F8UFyt1tKPYki2yjCMue +YebGWrs26b8TAJd/EyLI9J6y31PZfk48nHFzBiO9f1bdluU4j2eOzm0SkZXnmrTAA9w7i6LgzLGv +7a/tIAVYqTx2VlINbZmDcYbY/iWk//nrOc+W3uOursQ6OTAaAchRzKGpA1ZgK8x4TjQD1of+Tnj5 +rCxb+eJDMKtfjOZQY9TRfRorRdNAHBknjJ8LRjm19g0AIvdrdKY9bztS405nMwTG1ULBWMC29MXU +KadIoxdpXaoDPd0EW6oL4egCXkL1y66PjkFKvxy50SpgOcg5y9Ng4fYhMMowWW2hY7feXFAQIMAP +aW6S+5gBTQWbYYMgRf2IggEc2jnsAZx8a5kRSWJhUVRHVgDDf4umU7hSh7F0A440V4BKe3uOhuxU +krFK/RBby11kc/R2qI+TCvBP2XbdgVpIKkiZRDGu7OZCTrcDpZ8QyJkV86ErvCJQT5HTIXT9L/nR +Nd7CZZwVStd7bk1E2WBnfrKuGVKh4I7YA/xJy5L9Yr8bOMFuIJaIW6oqRsb3ILKP/h9cIr75k3Kk +PS0agq2MvVAo48D/XW4TG0vdx+9va6wuqLit5kN6jaHOzn/p/iX8i/F24BrVyh48IXc1gWLi1YH9 +6AjTIZgEJb/Se1/RRtqfnvxC2ehNypeZ2O15Wy4hbQFkRYgesEsXJj57yWsI41GCwsp5ss0AFMrG +O/dAtrWoGk5y1se94N+OORE6LoWzZaviKbnUUkOmoFbf7KVYmH3EeKsoaO7yT5PewgQpegADFIcA +Q4VHcb+7n8muh8th/3pdlRM9KNmZiSbfJF8ZZewD9abDkvazu7Yuumph7B2cWROsJq5MbAObA990 +avCTNLKea7FC9tAgAXkr0rHm0ionbARedWGbgpSZGgAmOl4HOrl8YIH/j4038Y6T1cqJwfa6qIaF +JiqRIZlQA9NKVX44HW/26C7bvqtDRbcMeUNnjYoSk2fFB0nPASSseoBCnYANmkeR90n17scnnVOa ++qxxWn0I+iTT/qrK2h9ItR+EcthSH62JuOt5u1AI4/5lLVyagwQ8R2+Z0dOOt4xTGK9xaiI0g/qR +iNAdXc1JX0d6J3vwWLi2+ZKP9meLpqL4L8l7tWPGmnMLFZ1sjGRFG2PN/kAIB6rIKlUNlWdoUoaB +aP+QgiqtOLCEU2/p8VT9jWu72meYKfXNnR6MxdE7l58y/QM5k2jeabh+5d/ilvbkg4J3WqySuDHd +rjfAc/IRTnqLvaeTn13v07ikrC46lnindecy7YvrLVZvLb5ipxtsLB2zJ7o8WCb7bnRedlA+Mabk +hG1mBSlh3ec/9C6Lx2NMjKeG4ZCRuKCB2sGGMADLYudtHsgCGuMk9QdKq6gfXO+wu/gVwn72Y0B2 +Xlj13yk4YGaJMTAEeRBAJ+EQa1sX5h6LcbbOmRN1IVfdT+JVHIFmRgUs+/PAo03Fp/rMy/9XZLLo +dRPeFcQpflFCHYq7pzoREb0D6tM4tO94hVkw1w78gDxC+ibNV47AHrL16U2jYjmNb7jIWZXqJZ2a +JnVwS+8QiC6wTMicliWxaoDUo6GRfzCQM/WnNEeW60SSuJAtNhk0CiTct+hrgqWZaCDuv9Z9uivW +7/D94Q7idjEGzBtebgY8Jv78f0j/XwBNFdLD/MaL11jgUNlp+L0N6VotEJL1AupUN5ev4gJ2Rcvf +5jxkvIytCbs6zD0naEFxmA4rQSes0ckoz1QMB6YEvsycXHzsCc7LQ4GXoPfngf1jXcwWOpZJMAnC +qqja0dJkaZ/qwuNyYrHbJbkeWzkmpNlGkWwGtvnn+HamoDCO/NgvpS19M11zd3/sbgCbLjNCPOGo +nYFkgsMuNTLFjtkp17Q17tG9eoFHHSb8i4wVBpZjhXqgrvF74ArW5hGvG7CJbOgrFqnNd7Tarx0G +ciRo3h9ZkT4oRRA6Tu8XlVuoe97+qfzwzdWQ/cA63DeOtBFx6NUsVtBcPJ9t4kX2lJHvDRLgWbzY +/y2030uIK7FQ/YSQ2pOC1DC3Se2tTtF4iAKPJAuw/TYnypP+3PTTLufVpP0sX/V484HxshoLQn2R +Gcrq+OGUOYvBZ9emm6i/ZWOrbcsbEQvUi/32WddHM50e/gcIGu8Ru8oWCkKG4PYiCQHG8dd7XkgX +z2cW9uMO0nqFBh9TdZsVRvCxLH+8iLSm9iEXiDXQi0NLxXDf//tKRIImwUC8U4Cua3L1z+mbeTF5 +cuXAkN0yST0BQqzv5MrFBoNDBmFqnCCYQ4OUshc8b9rd19DyiyuhFFuMYhll1aoms/h0VwsB8sZ0 +Ym8BfFZ8kcZ81juqIj3JGwP4qFT+cBU9l6QoZ0bT/n8X47YVEVLNjYV0TSb4QgbqPqN068IpR3Bh +KLngCmtdazrCcnQOZvh1BPnQOL3oAWy0auMFBojsm1DdssT79O8d+awqOg+zwQTRMMyv4XLspu3V +30jnc+Qu1X0BCY3aGrBdRelzBtmfI3wdrZzgY75CdxJCHkHrW0Tt7hNYcksVQDIlsz6y+f7aPEeu +2MOTsGcgC+PMRl0QEH9UphsCoIHl8wZV38JUSk0XyqD0SBefpy7eidSY6nTFdKHIAqF6gxoO9ey3 +kJL+zE1f5jsJ9hOoJFhKD3CIRKbZgnKcJTfy1Lk7tmx4/AxMfOu6PerjRV+ZZHAoYeSTem6igKy7 +YtDFB6uxt90EpQHbmOeauLCAu90W98f12WSt4ljkpzMsZj/bBXUW7ZRT7e5Z0oZ6umIESg6Yhkgr +GOWjCFtrT19Tly/TEy113toWoRdJ5FcjfvMDMGvjSBQ6tM3sbsmvar4dE1giG7BX6RscfGqujxwd +/psji9yqF2AljQeZJspOS+2e2AZyX1eiA9cBbFJTTzP+/U3GspWvjXGbFqlQXl0HJ1okN/XTP09O +QiYRqLiEwPX1zA7jg2hC0JjRJxGNW+WOdOMKkEqdLVQ0GWX9PZ8K3TQBYetu49M+hlQHhJE+SxBv +r28M/ttqEUvHegHeMtO1/RyDixE4rEy236KRFlZi18h9swLI7EzDvsoBURgf1vK03wg89EE6nSDZ +nbh1iJGKFmjxvJWw3kMkOKLzmBfOsmmioUUVTNWaBEGy15gixt5FCUqKbZJNWHaqrhPFiR0VVNZt +KeZUmflGDUBi0iJHpr32gLh07qH2hK7DjfNcZq5VY9qhEKTe2U7sdEvbGLydnDN9y+sUo4nqGU0X +bvZdlt37xXw+NtRrFK5aIHoFVl2tYxLGB+t+N+JbFuAGaVFiT+SCsN25Z7nphNFNreHicsqCk8Tm +ghQ8yF5eVvY2hhYvGX0fT9wbg/rSR1cZflivLueYR/H7/581ZHRXwAmn3CZpxtX+eAsinn4p2dxU +qJX2wXRRuAZojm5+HDA8WARdyV6zBQAq4EynjzBiZQcO6B4fKXM8krfybmgnSD3hE0eUCIb/Hg1d +HbOzxRJMwuXOIDiHUaRkTzZAazkAyqTiCUUNSGYPVHSjJCsUlBxtaKygGAJYCYGi12QLBg9O9JNl +vvFh9kFfNUIR8s4GP2WkCDWOJ6FHET80JSv/Tr/JMBo0loVg16jNZ0VAdoDkleZbGiSpOhkPmuEp +H1QuXVAcLdcIgdhIIkbPt+LxP1D4wZDtTf6XkWx3AlX4T7cKyRO+cuYFBHDa6Xs/bxSzZhWozpjw +5xnv/AmvEGCCZBYePyjX8BYEKbSZvemCIY4Ks9xyFRA8b5Kz+gtSyG2tXMmSz8zReBH/jsLYLzLC +YYbm0mR4CTAiVF261/SR+QUMowaqEWhZc8jwZCkA/WYdLdWsL+RirzF/tUZIgjuRYXn4ZkGqH0k+ +FrlWVlsWWP7WGZPHA/8mNMIBai9LhFIxXdhk7pa8G0XkLmvg8HDwqJNsB0xgx55tfFheB6edf0jg +LJqDlmRVRkM8pboYcMIBTLxkNAQH+InEpiueE9CEl/ojIINjpWteqv7rLaoc728+MM8isVzSIe9W +4V/I7QSZEuNyZgj7BQkmsP6G8Pw/d+D5LepMbR//BepcDIlb5jwJi1fF/kGboFwI43NG46+eXXJr +LaXzvVKXeXrUbkNloYy+X/4+/HTY7tX6YxooxCY5aZOpyCZypvYWR+wmZpZcchnYIpMgoB8UpJkK +zmQCvH4LBgQP+oikiLpime8YOzzgWpUYr2VEBZX+vv58gwdZSLpxj/xaG1kIrbflqXl6kppmoOsj +DsU5PUiURTTXIFA3kXsBpUCFawQDt+DXzHacFeldpqs83Ji3Fln4GV0GAlkld21aVI0gsz0p2yF+ +4GGQMll+aXT1tvV2FuOcy1sCsLdLcB60FJ+mT6008FSeF0QPhJ695iaYuYToCmJbn+HkBC3YBC3n +zAX4XccNfiReWgEsV8dyeY1Y6JQsPNj1keHm4cUnAV2VERqKMgP4Rl6x6J+MFdlmfDudua7NKGyZ +pol0SPiVPwDOe/64YHgNLd4wbXysdgj21Nhsp0YtH8bNl0b5aZtMuRoQf+xMhQqoM3NHONxwd7/m +1T9ICIIf1kiKclTMlM160vZxl4YwF4ACwU9Lrq86/Gps8LYyIAyKDIGTCWManCfJqdh0NUP7IUIJ +e1PkJFD4jzldbcWL2/p0XkQbchj8QHuvRFCXgfqRIwT1kxvncfwrmKXub8z52ohQvy/v2+lP3APj +hurWlQsuQFJsZWYwsfrb6HZoCj878GRtHhmhW9JpsjDVKZ0OL7FeLd3TOptdX9UyFGbTxidJumya +LTYIEZnvz3ujOH4bh/Cqq19h+nu+FwIjO08U3rw3zs96AF234rksyCu55+sUTopBI+5SlRG+AE6G +7eaQc4GI9nDUtc3qqbnJrgBHfgovy7UVD82fYHUoOWHFaUG2VbKUS7omq4vTfhppAmjsvThPh8oj +AR4pqUC0MCQi6FXX7n4s3CvtKx63dbLlsSK1bGyLXHbVIM0Yge5EAxYyLwgYfKidzwBErJXqeRF0 +dPyKBq7J0ucag+Sbl10RM399yNGq5nVJF+UHElWnTPz+YrBUPMsaCOY86a2hhSe6glzvAbkJpdo/ +XP6QIE37UCpAoOTxjuljWLu12NTgkwZpLiP0hYSATUiG9NTOElYvKs4CTs/vb9tfsAzyW4XppjG3 +OeqkzdQ0dL1o/2bZPbpkonBa0HB6kjkjRwGGTjNwSewtRYTGSq7OnsH5DcOj+1+eLr1Em3lP68QY +qFnU6UivUBqgZ2PynQct2UEtAbuCTBw1rq1+/8z3vCZQX8+sZAPFcairTrMj7C5r3yEO0FWcpsY1 +yqm0RgnmEHQQE8pos67UKndwPPHAguMOSdoyslueZ82ywG6RbAFGs+TVbzRTKkDMXsln7ui/iK0p +417aJvJopOxMvCZ9QROwbiRkzDCAt437FWaOp+5SGIY1g8nEOkNz6JaGawmfMvZuccUPk3fNiF31 +GwXao3MVxR229k5hJEg1i10819bw6BJOI9Va3ChWMccCFSpEkCs9o4psJ/+oaO9EVFot2H8FLL7f +QxVQ1C65Z0AbaGlcMI1XuMvG6mZQ9xMQ/gn+hPZ9VrIHPZ1vRi4Udr9zkkii7LmVgTcFZDpZ3CFA +i03OM03wApuumJUTPbsOR2S270w5hLaeL+qtAzKuCjDDCsYD0az+L//a/ih8/5y6WcQg3ONZioRn +qySD1gazpAbGgDKb60hkiEt5MGzGlb/QVU1ClbRXLFEhgIKqI/WtezcQ4utCv3PMJu9B37MqXKc6 +bX2x8MzqwP8L86MDeNUIZmrrnqIY0nhuiK8h/Y3VO49T8CY629uT4NYqb6wFm4R8vWWTbXdoZhTh +CK6U6DwSMdfDYNl8ud6s3q2RdotxU921vz6aL51cpKIK6eyGH7LGliVeRq94QiG6//MxMcGemCGy +VyL22PI7+VWIQ8Jw7mO1xqmekRerDEEocdJ+q9qYFfHBnv3nBH4GRczyta/DTIC6rPXF/0CRvyH6 +F2aL9tsDf52Vxm3mbxR19nu1vVq42m6JSZYrHBrzj5k9i58J8g1Bdt2ZMaVCCP9vZjMfxB+KyGoH +PlaI9F4PnWOAjwLiNndhG0eMa1LnaPbKlZnV2I42e0/0E/mbCKigcuallS9ZTBV1v5SquRVoW9Wf +w7/PlT/+1fiHox/RiIW8Be5Uwr9W/srN/P0On1Duq7Sii6MkZPPLGd0MoLUhDgYbwaRPsTo5XjGb +zi7uWolV63K80s2KIVqt0f9ozCWf0S3XZDSAJIghO1Hrxi1pj1TbaSRZA54dSreMVTX/Ie+xS3GZ +U3/ZVShX+awCIkbSUnfvshEvC8FgBLvmdqR8qEQjnT/yMDG0VrcokJ0AfNA/n4h2+6wrw5Beb4CO +xRPPy65QbZyPlHLk6t4PPgoxlETH6BE0T0k7R8pARmcjv/mr3bW3tYUeTJvr0rqaLMWmF+VstRLn +bvkPIzsDm+b475UrjYaIUYL64Qcrcyhq7Ox2Or1xKevsacls+VyR7mk3naQT9Ne9BvFPPrrMbO9v +98eZcetbmTeM/jFVZXx/rVfVWPlS5Ox81/a4UuaciCtGLQFISQJTEZWCVisbdO6r33Qk7NXMvO1R +cnrjX1SBIadwOcx9aOA643XWcSwSz1DAX2/uCOnRH0a6KoAfNC5We+bR3V7XO3O4oACZOKEzqBY2 +/zfssveu/EADYSfvDt1nwf7HuCSkR6LpXj0phA0zxtFw580rZpqE1AVEZevQUyezAZ5uWdCCWibD +3Nxn4jGmRNK8eOOyjKDIxygMAHvLKl/Np/wvQEJDt648pWj/gmVybywIfW43ykR8pwJzIP12aM4E +NS5g2jIi8xvOUj6AiQZ+BoyJeVQhlMWN8RlgxsHQKzRbJ7Wi1gBQKqi+/k6j3HyGMjEK+2Zx4Ne1 +HJpVMGAnZm4KSJg6jIxmtff1ikkAbo0q/1PeKdcuAuLPu8GysaFA1Fd8V7W7JcJQdVFE0lbbxffy +xkTkvktwljzIjIf6OODz9QgKwoB+lS5L+Vs9Xu2s0XT3SxjfD5Pu3jAXQRGHaW2qshoToXXd1tNi +hM31aLiuEe9I+YY3qLmtvwaVlywov4HWUcjXp4lVp1xweBbxE2pOp6/f/m3+ig0ptIuHSzPc6akz +8LcxhRF5AqMGGM5pwwk++Vtu2DHgQKX15VP8cWh77Xvn/oT9l5j2DJgQTN6XN+A4NRsyUyPgx/QY +PyXnRoHyXzSo0pA06mkx38zMS9kbueIndzTZMwlNzh3Dp3C8gR4DIz2zXY4dpD3lH17Xyu6J4RC+ +w8Cnt94tBv9UQkoEvpZzkKpakJsDGYw905ajOzEUsPLicirmwxMPWCMXRCHqUy5n53Y60gxWEXga +t2cUBzuRarCPoFW2kyqFEGAcZyMfwtWQ+B423GD3tdlL5nZctI7WAAaDBFQPpY6bFOaD5GN7Qvh3 +Ocskr4m5vGaXzGzX6CUk0e8Mn34woXyOZYTA3hMMfg7gDeo8W3iHR4vGSSZRDOv9lY1sB4l9h/Sc +QZMTPzujRD38NX2yUlfjxMn8IudVl8vDCHNID0AVKfOTU9ncnXZQU66qdzNJYhglugaOyYOt1kyO +XDEsM/Od4/6xdhnSZtQqwS4vLDpcfTeXxuFTc6P6koQ+UpEr9BIzPAMf/tbQa4wPgAnfqckjdivi +q55fOpQGGf26d/0pyUlkIkYLiPZT4qIYsG5GDYwMJY+gqd4YjR5gMidAsouvBV4FXBLydFl1ZxcA +0H4022TNK7/gtp01eOf+g3jUAO51RE3pAEvzyHotU5FcyqGp6SIruU7QYTUMP7jrsy+JUvk734KI +5AhQFa1VS11lDqL7EU8fb/U6eEA0UybgmqLJeFvcBT0ySt2n0lAZPA7TvVMe0TXTqRYrDVNecy7h +bwovbMDSMNFmf02109+Fe8EJzkHF3XEw2aUQ7SMkgNxi4Rdi5myoB4JBz2Zbsmri/oF3bQK8ZzLs +p1byHwArwZkJgDmmz+TrO8nuZ+rd2DJSr2t7q95OTIKiOkxMSRs/jAr3fJ294VazVm5TKSpvywfd +y8gppmgKuLFZjGF0eQgSRJ4wHm5CefQbPwSLAiKnjv7EZuZk0mV/WVfVaHNNGmXbtUAz2tUKmYI9 +ueiV+XU79li9T3cNI+jfFATKw8SNfrajbuYv1UPX2+TbWak17PbIdlaKtQ8QpPajj/6yIBuDZeNZ +62JztsaCjXG5bvIogzWlXpFcDEIVoTwARYHONKusWr5thR8wZUdwzwqj5pcZdE0YlGEnRzF1Rn7Y +7mCTQ4LUtX5Wjg3jtEev6/s5qXilz7MtC9P6cyvgws+roeKL04pzluoO6RC0Qvou1WPZZXepxEUd +SRp4RRPQRgnjIAWPiGkPrWgeVEBHpoSjI+4ZcPvmjj0skaseINIR1Sx9I2m7Hk0YvAOT6K55raFj +KRtQw4+WawNb8awAyD50dwZrQAcSLZmjVkAeYqLdLOqvKgfpTJQuhIVJXX3dFSKmqYHWMo8+G0KI +M7kH5GwjzXnz+Qfr9nlvuWGWeV/JhWqOL9ytMp2xNuCBJ4/5LqyTX7Lmgnh58QCpVN3QkkXArWXy +oZt8ajQIDoJ/gwjrbcjE8Ev4AFGtn/et6lxdSqr8I0vrYIQ1XSe9115zVM0EMWAIs/SXs3DkcBYJ +hZhNWyeDbUt2+dBI/hlSNsYQCHqaGifeUV+BMis+wuL+gQPPyNAvtm0M5Zrf0RkDKnnZ8FIc0vhe +5k0tQOAbXlYGrfASo4vUL4ycLrC+W4u7NHAJnMxcAWyBfCWMjw4Tv6j1tqa82tL3XqZDsSWwVsKV +a+7s7lv5YMhiSC2bcZF6/IcPXwxi4SJ37fZAIRblLZjEdpGGAI8NE/22OHfWSRTiVlggQ8uwx19B +7c9zR//Rj54+asJ8r00Bmb+iLES6OhQcJppkvjdvNsZEKSQjc1/J30FL0gzOIlv7CAAlvP9pliut +r7OlEEhoUUzdSRPZjQ+p3MHtwptN7G38aUK+VmUPEiZzxNGs/Jn8U+cWXNhdYr3kF//RjHV2achu +r5yuPOMC7fUTKncOLbzoRu1Z2n/89vOBu6xJdrtv6zZnHP1HdNP//MB60OaZZ3xhOM3qbUzVvi1n +rJqDOCS3ZeRK0EmK+IqONd4WCn0iFek2P6nW0umD6vIfpYJvX6wz+rBVxKY0y3MXy96STUq46XJO +lBLuqjSQhoRPQZ7yeV8bDnPlUhnd8JO4T1sRFCjsJJr8XhG2GLXu6DdbpuEwpJiswzJ2AgKCq9Vn +PnkF4uTmL2bW7f+BNpke2F62/9H0lK6PjMV94pOGZ3aNQ1/iPpilLdEBrB8J9s5Un6COrBmsdrsi +bkx8hQGpjDuvm0VV1TPegm7UOpkXmF9k7Ao3mAhCeJnXaSkKol4rHw/NSbR9eLfJAP+uITIr2YX4 +2ETD8d4ouFdJPEfnum7OqtNCDqUd4hMI58QPEHh/jYvPb06U9DqiOVO169+WA/C26eDHymgVKLQM +yp7wACzwzoRYQgyKZc+boMThlLs+lly3Re+n72DRwCY/yXv3frnlSVAN6YiQ3uMWia/A0dqFUADR +r/V2uq9sKhlGL2gEAv5OsE6S1jsLUALeIE/54Me7ZwjkjvkdD/06DoIInoEg6NaK+mnCwLeSUyJj +Ns8MWK7DUOBnYpKTiauBPpnE9WuLu0J9himhstyMlzSads8DHYVdyJHQ/qJsNMG4aDK5r3szrEHX +pKptFdc3d6hVyYP6MSCck8Gtr3+/gmjPYj4xTkLpXhleytsyOijOV5i01DHW6+hhr6/dYeD7xinY +Sa+UhWZ8wRylm8StyAKtS4XLhwnb/Cuptc3ECHvqtcZTLaeHgAYtokal6yx5Aui8ON2ruI1b4rDY +sf2y0BM5YGXMPmBVtd2heaaEYIpPXO4DCGwLEZZ3v7vAHN+OZb2MjeCPmYUX3Sese5rnNlaR8C0g +MCZy/q6SravsZKkynb+cz1ReVbKJY6UyP0PlWg2IlvRTPWZQo7HaYorN8D4I2Ouhfnc+m/GIbux0 +f96JAZFEBzVfxlssNxYK2GHg62IEtH507PHWm20yH3W6PGLcsvadJgs9ZzDruVv1J81DD3hCIsR6 +U+sijuq3PfYQ8FgaCV+MTb7Gwjpa2NGintu8elsRYd014YoUBWOIInhFKzVQu6M7VcdCUEXpBzjR +eqoCvDIxSbaCeaR84ZLVNojuZu35yGc0lNX1Q3cHPkmSahxKHbK4vVE2Gu6DQQuQbh1F8Kzd4nMo +2rDdzS7yyhMToqzN6U4Su1G6gPmX8BwLW/smZfTqGslEJWoHwsAFJxI7wfPp2mt7pe60aKkvX2fj +Fa4Kg765s0IUQjvVKMZ9H9SUSuqUKgJpkV9Zq7HLtWXxkWacj1+N8UsaqQ+GR/kYFD1YtAzOtsEA +GLnjFwUNHyl2R0Ra4tAnt8PighRw0UJ05tRMUuSdw5oavB2Klu4CvVgm9GcabMa/JnHCpoTdksNm +Syyk6bDHzQjnVet2z2rbS7+1Sg7L8e/+zhNLO93gSjSxtW8zTTCsK3b43UVSWHAnd89FYsvJrG9D +lMg/VKWQjVkAx54xyN7+rDpxC0Elwna129RETYMsPtlYNaZ/fayp/GnYZKuY79mRBouXbtuzy/D7 +yypQ7pJ8haAi33oBn9DURJUtTqY4leX5upakG3bT9rgVclEJ2UhNAGVfbSAvy08H/NMju4Bixubc +cG9PsZ75gc/ImS7JXK7ww0UIVM2BsDPyKgeCQRlQCpMbiGiHAjZzlJ3iiHXJmPZJIA7KyZPYTapZ +GuEKySpZokdNXn6lwYfKAlACU8Uy+Rp7KKOUsQY7r/1UUHZiEbZbS8+EpN9pSq6duANnnxbzBppi +bSE8UsQujWvEKg+kn8q5uYw8Y6o+MHFV+b6/SfGuFGvfH1pGeuvFOV7HcwmQmXkpRsqy8LvFVwEN +ksb4CfQ4rtxUt2Ej/ZkVe5hfakt0YnUi4wPEHF8JdyroSI2XmWDsSO4MR3S/esCYR2XuGxppCGsp +Ndoi9lbb20bKXY/tkqae6fZVJyLtdwN8ZEHKqQpeioH+IUD1lsEzf/V7HH/giA7pbWsQpI/sfKG9 +pzY6K7zmxye6Fzxc8LGAOSFZlbFJRunV0twscpfL4hWSUCXzswQPZw6pRgDDAuqAg/A0Plc6KEBE +rqca0wEh8csRreNtkae81I64gm8qU+hu1nsSkWv8lTCEs7NASlpJqLgQR4FEC/sf+569H7PDcam8 +spDMTSNttH0YRPKYGLo5pAMt1ZGWNyBfvJ/vwSevNQjzXN6BEtHPlN955EV1kTIXiqc1UbRq9SyF +iyPuFsqzFUUkL8oy/Tfol1Wiq/0DNEWb1CYuEr2YUQZGdEfK40Mr9Zk65fcr3D5t+ykFjhVMt5d3 +guL/2r37VGcG51h7AVDzEW6jUh4i6P3Yx8pWhtPXegErSFTWrqYF7bfsV3GEYQD/f+Bq6bHJZt+V +htdYs4XeeHCrJkhOGmvER6ZoKhM+5ywbxW31MbvUwIyzFXiBfm1GXer3LkouSD77xnQxnmqTvqEo +x0WtX60E7WCzS3SMYkAfG9k0+lWcrytm7LFP5Qz1l2HtbHaFF6tXtTSBygBaiv00YWJfp3jMOSR/ +ExqavMI/2MH89atIYEEPdZhhuGWfM+tYGKbmdUKLV3GTcJsenAVTej0UnwP8buHKyXoxrgQpMWPc +wkUliJODUjBx+M2Ous0WKzkFKEhf3Ssx15QWPqZJxvEYXsNZzgITOutkFmmOb2eBNQl2IXcXuzEa +L4TJQjctUvGn/UEKe/JUimWOIt/h4n7W6P2g1MGyilBLTuRMu0YOm1aQ6JR+iAYv8e51R9lbeJIw +xysPMEU/FD7BYQ+yU+3y4bS7j6r5JpU1K5p+A0mMeOihZJDF2Zd6J/e7gqHBJ16K4JJTxkW2HJ/D +pDN9wfTInvmCtStXjzvLc4//sPJ5r1Gm3+MLEfB9MuNWBoXb3rlbT3M154FBpXugDmrIp70T7rbl +MFEaOE4pdcsJSq2kbaJzEw6lZfkVsAj8w8+qen51rx1SKF4ZjSlfEYG49Oay5AOc8U03DPeOm5Ds +6uuNzq1Qag1n5yx7GeXEpKyQ44ivc7uNYe+6xjV7x6hVb+MjMnw1f+Cxyp+7M3YsjG0RgtfI677x +Uyyw0lwK4OZ4OYSOQmwryaLtUvdJUsYSHc25g5JI+Du7rT5uJD3zEOat/vRfrfoLL4RLkTJilQCu +6tvrW4miHRFHjnrmptCLOP+g7g6I6Cv5DbWhfWGZetSsf9Rxc0tnh8maPFUVJHZeqaf+9ZbR+fJ4 +/Z13ofFv9zoXPrx6KTGU2ngz6bRlorrk2pl0R+7RuiL/GpuHzzdRUt7WVaxZ6qRGNO8xyAxaVcU+ +romFDqEb1fw8CaXD6C92ZluGEcx29YZyrG8qrIJVbFkLyhztTB3feL0Z3cLse7j2aEo4nIJPbJg4 +qfA13LxaEnuLO40C9zsv7OgmHqOe+cLUvdsJ/3WK1ibZOcuYXsEgVp1Eu+66cgrdmMbe3CGhlD93 +QkSfcMz1kFcpkZ8BqBq7Apq4C9gC+7GPu+pDZVP+dTgAqqtAswZlHDhzGvgyJ0McwVmyShCJKKAc +Um4XddBYVxTWYFaBFHcuZPfFtjRH0N7jSy461CjuNZoUfUvOdeLAb//PSFHgd0BnsTeAlBPNYa0l +9rXG7XTxUxh8DOOlYYTtT8NU0pIvmQ8CgOYgQGgnTJuehdFWLed5ApdaZDuFNyXsXfwFILSXebGC +sb28jRL33WoMWG806NbRSv1tYlTyM9yL0Z9iuoiroCqY8l0FVcUsgNHIotpfpuCIwQNyfw1GP4pX +qEwdMLrI+obZdEogQetbBv8izS/x5X0Moda7hbJMZ6Lyti94twZM/3xCD/HkVjGsZrNgMcP2VOTG +RdcJqHqPrJ3ugviSxtHyCJ8yhFebjyrVq6t1q31DR3Eh1rv1j7mPwBLW+q2pT4zjVZi2ayj+K/8W +xfvEQai2MEI10L1ELYqZvmBp4/iIKNG3B1G9lGXTzXmI9WSJQO6aPjeiHTmF0BmU+tWIm5ilroXE +nEWel+tNPf+e4AmDRg5REDh6P0DnJ6p4Go42XC7pCOBs9Oz6Ea3XZmh7FzYQMEQli1lcc0rGgtFT +d9mgTMOIbFseuZowNHgDyiSj52PRD5oVA31WtnT8uclngZVwEsyQKgKEKV4Wh6coJm29YCpK+F1d +EWLJ6niC78K/eEot4UYnNKln7dsFrUsNjRKNshyWWml2bqbrU4OVTmeLlLih3B3K4PunmW+hxM11 +LN+/LSdyTcJgHng5WEdKW9VTn/j09iu4qktbUNEZqsoNczcxgb2wwpOPLZinYcIOiqY9FDBdTPAA +8DkKSZTMMxRcY9/nl3lUopiWoQ7rNwrFJn6kUcjO6B+U+LIxU+0h2mExx/mZ52TUcsFZV/SWj/WY +nJvLjJdHvzzDyJOPc5yiutwfyC+4woR6EluVb2/mXmTsMfyYESe+00yHnTwIMY9oZ1BMrZUSPUuo ++Eo4VW2l6uM07KUDO98VtFTQps5P+XPTatbE3c0MwGVlWgJDA3t3hbLfs//KC4RZne4U3QT+g6ph +o/sN8hNX9yEkxCfBnxuztXT8vPJG7amANF5WGWSH4Rp4FeqyD3cHmLzCNWcxEFX0Am1h65tGYS4W +5op6kxPV7Um8hDyf+y3J34iV1dbXcksTEj91byIrxw5Mwmcd7u/KVPuIX9J/nusX9bqMiQ0NpCPl +bEKKpl2BC5YMT0p5+lUdUSeRIdg1HaeDwfMZpwMV+FpBXCsOUE2nRIW+GdX7hwHXxUKF1vapK9Ud +X0szbhAvcU7BoECkNG+m6Tmke6db7JVo3KhrXo/Eyv7skY3URKxXQhazQgkuOiEayin0uQ4iaMgw +0yXfgpnXxww9lLUJSzMFv39XagAbyThYcXISzraA7VQSXeNK6Fs5kh7SGbnWL2QYyrBLZZnVG7x8 +KyHf7eeB6Czn9I4keoCaiqO7A+/vqISOzT4W4/v0cqxachUM8PFQW1ADmlceuRNbG4Is9pxPJHv4 +2d/kw4oWwNHWkumBbuZnLFkZFlAZHt8f16YPRfb+FRJUnm+A7hJle62QTxFTev7ZpOP/NY/VnXVI +aQo0tOcO6BXbDpP509wuP3H0utYhGWfapTaPKJAdkVG86NvpSiFoIXuJaL85bak6mbXw/da9nNPI +SlsEaH27mzjOJj8Fw6bd9PdJhb+gZ2iT9yqS6c98GncARw/Hq3AXXpQH+m9DvQ0ydHvosoL2J5fM +cur/DY1USxqPYNfmbAFNvvK6rCcD4ZKERhabnwvFjP0pCnB5X00p7KWlOYHjSB1pkqO315saIk+r +YFyJLmC9BcpzPd12pkPFKlgE0HLNKJUrnVITPIcDP9D5l5MWB9zycvhJAjIgX4lspLotwBGR6si7 +74XLYrmA9exzndvdy2UJdnkQCp5NrrbuGmDO+pE+CLpdlzGTMKUAKFV5zNYIutMH2XDNDXhuID3v +EfNWnDWhs5ZAZ9lIIT+En1ouSAwb3gM1wRZXJ+1GR5y3PzbxyYihDTbXM6+g7v8Efun4lBkmvOcJ +M8xJpfD9az3+3AybkcbL161BBNgp1m8Bw1JId+/Xc1NjFwL0UlulfStbtiDpJuNVFRzuVubjTQBA +Z2lE8BDqYjnPPyv5A7CeXD5UEh+GBgwatnILg9RwBv79uJ2c4jOuvu0fYScmxPabNP7AhkpssvCA +MyYhtjjBIaYdaNA7Tf2aQnhxa285jBfM6Qo0U2EUVQC6nVbKHMIqvFszfkEiCsz4L//k8whR+WdH +YsgH0H060eOJae5AZ3f5/bOMY9a96PlkIPzqjxjXkfw3TWjVcUWdKKuf2kJpE9kCH6R/ACRIzkME +FlGlu+N+BsdkcQRR1Kg20l8JUeLsK2JbU6qFCKh5CcQ7l1b8l0E39zUst8o0MLbhn06uhIFe6cAs +fkS78gB+hhCcvfRGrfr3MeCFcAVIpAUAODtDbDCZu0IWto7rIJmstY+XuCzTVgiF8M4dc7K8Qi0z +kiWw3x0y7oa4NsxugTmA924OXj4+D5A6Fmi/5lUlIFqx1NcjFBQOaq0b93HABjcNEBqpzyOUmKzj +nodSAwqcf8IBezoDw46TaM2BfALcuAi4WUPYSV6LA0sIrt6aMUln5afT8JE2nJX/G1KSJgcLOFFK +Qz8Y3p6p1xuV5zm1QxXmBiV/bC6nZTdBlOSFVy/dIkJcvMV2IsM7LebtRQTUzeQmk69yEkCQuJk3 +h7LQgJJY+dRnCd+YyK/IYNEoF/d3ntzJnXTsfAwq+375pOSn0vQRuQxDPQFeAuY3ogdnnSCL6/FJ +fYp38MrPD8mdHSDD07QPfQZgD7T9AyBjXjiNR5CUvRwGN1ySYpxXO0H11pvBC0zdCDY5k45pHXAz +nTAT0V/qE+WGoWFEKHOTqj8+eTAjmSfgsQncRXZkex2K3/rP0vjLfJ0AnRvvCoEaX6usIUj/90T/ ++1TKdeB8HQd++fGRVTtGbNtjloUZx+4v+Fg31thJJCMj0n/d5vlzIaM3FuiV8v3LqVEGdxKFNWwX +vSXEVR1AGS14Zk+WNmiIXzeULV0rTZKpnBrBihRc/Zrj2/UfyA/vwzUb0TW0Fjoz/M6XdrZNOHmh +EPV7hxmFR8Zy+eZ6gVDmygucDV7EtVwHXMr+g4zYvivRU1VFv8mKpw4IY78NK6+a/z9Ced9SOw3A +jHnfSEIZwL5rmfrekC6t8X9IdH/vpVEkF6h8Mb14OB8dFttPiNj/zR9IHxbMHnCtRsUoDFkHlEo8 +aWPw5d0/5OweD9ydGXhQ848crjT+POSH9NhDnLHfpRPyuAd/W85bKK69J8xPUCUS0gL1HYgq9zN9 +lO7TbJhtPEDd3pV3mvz0iT9j4sgEhSd5uF99mkcDE4UO7XPW/YhQNmvnixFXfrZoPnSt16E77nbC +4iKbj6KvvokQ/yePNdlzTBtRkB581KbsZhFeWIlaqTjnR0xWDnOdsaEiUizpwEh/bL3JtjKcjsQ8 +NdHza88xD/TmSIDOyHOsxcvgW1CSuPF5NrAkSa+pqhUoAF6yA32VMHGy3K6SKbUvff57ldN9PSbf +HVK64nTJJz511th+QBHF79Ym+D8C/b3FKisjTZBoWK8OpquNLpYx6wjqpJ4VACiOAo5PVIpLJH1S +F7LsZCOKn2ZEC+7OyrC6ZcUKbkJylENrWb/NSsBzSBayMVpOvuUq4kIYKyYCFVQQgwGv1yfJJPhP +DxM49FpYgvMPTk6rPSAUJK3qBSvDgakyVxcVfMcvs1Fx3fNR9bfeHhZ/FodP49BztojrwYl4F2vZ +0g+g4AwiU47s4KqWKKBAQ/C73NqfmGIJFlRUQS/bwX17uF/86IqJZAZnipvb4XEUU1iIVSmbz9dF +bYimHmHGiI5AAL6w+JJvxgOB046Oflgm1Vyg2w/7nulhAL64c+PjhCw7P6y4YzXhs+CO7xjcrAJB +rUaMG3s3g2z9Ai83JzhqDCRo0lkOySrB+X2JJaCwKae0yCMNne9nJYfmjjb+vwzTILBcDXZJv4kQ +XL4Wl6bjD6NUJYdvy9I7sqIyKnGnTV+z8y/iFRZ2BNXs/vK/EZUABPe5aSrXbH4UzE0gmvwK787W +YJDyyJ1I5nBEr7a8dxeZ//lA1n93PdbAV5npZsSK5s/1h3mBDNUyCCnT5SVS0GejIe57ZWsmnq8g +8NPQ40dLwJxzb14wtrBdQFr2L1QKUKJ72bvD5LxuMD3aFiDZh1bo7ik5ir71SWpoOVXMAk1oeFvF +rGNy9ca4e2Ja7z+dnBsh87MUAAW91Lt9RS84aC/pEf8vscj4soGmR9OOU43n8/NLaOTrrdH7SfxI +cIzetC4uY0JHh69V6AEqLSPQn5VuPDfosphOjRvbSWvvwjzbHNTp4EKjokuiUjVW38CBcf3lQRnz +bK/aJETALR/fyuCzH+Xrb6LcIOBaNZyW7NQ+n37CHBId7fsNesEBY9m2r9u7405yyFRuRlUsP6mY +hRoLX68SYpg/2XfWy930ucO77/0VUKE34lzwqgRhMQKqNLvZDUZ23gZuFDpFWe7hPNpMwa02tLPO +fSvVew0d6ddJWcd6XnAhgm7fbk+QdH3D2uJkSuFAeXNskHhAqRSzQYoFD06wu2LrbRdj3OMmxnNj +D0lLDwELBK4HeFbU8kWMCMTJDv828wqMkyUgjlu/De3/VGuWcQlUw0LGAyIdaj3zPmPWhfk0MIuM +o1jqNCVzXarttO+ynrr9c+5BXokQulCqMFflc96gRwpPUZprgSOulUlhFebM/b89XY+JxLUOZcDc +uxqkKF+7f2SfD7aUN+3eYNXOknxkreJ8UZ9VXYu7WmX98gzaZwhMLu0SaZWWHV1e0EQW3xiQa6K6 +n4vy2+InNtgJyCaB0PDKRt0K0CK5IkpfkewKq2XGBkTjNTs/7nzaPktrRZhv1qwFh06U4PddRZ4Z +zOg1kvaL39nKJLYYi+2aWgNkDyv8pk3UCrowrWuDuvDyDJtBhBsOnfRTnVPSkXR/ulXtCYgFMDrT +KbGJLP64j8/LOcQE7fOmgc+peFuhwqButXJhgGb2uGE3p5g7oWIb0wa5hPXYnu+yMWlQMYw9Kaig +O85+YkMkWJTmVdKGE+OLRF7w/MKcZU4d78E3TBiWqt3c7Udj0PAxFPrvgeyYER2/Q1k5yK3tINwS +Jt9WOvti2fopKX6GPyF9eVSyHyj2+SrsagYybnrySOlHEgYSmknwfsBzzek+h+myfmzxwf49he9V +Nz5XphQo7ZwCWf6vYCdCwWeOOkunAghhBM5/gTtwldXunR7zOQQhIiUdeAjz+q099Tbdr1kY5NEq +9Crpba1UdBPtF4yCM7+UyM7YaHba8Xlv4o3aKRFQUQFFLmh1ygNaxvHoqT0X+VuwYhvge8dw5M26 +UnQ4phMS/61YpdyLjRrmPLj4oyKcKessBKsRrp65BNiayRfuEPBueYaorNtKgkWWiSAc0PRqWm33 +LAPcQfkX0aLpy6U/ya1ZWlyvNy5bBwKU1D6/seU4KVIQ4aLLwUsxq8Pjde0WIrqN43w9GuF1kwwn +6BNHEaviELlJeo162OBl3VEupXXmNqvitqobotsEcgJAAKdHvbuCh38NIzbiTy5EB9HQ/7ExDMpJ +VksqmoScTnkNBaFNJoBTp9y+7arWofUACctBcIHzxoVeW5YsS+CduLEo2FBxifdBxa3k5Af2jhqh +ZkJ1uaDWVjQuxSEuFCq4oQzMXI4zdMnbmtsxdCUEsAWK9XAfSR1Ag52enaoyGDtegSf7T+lN960T +n5QrVS02djebM89cJZGMyHOU8YVUt97t9a+QERxWQAwHHu4c14TdqZ+fCadHk5t3LelcwJs2sM10 +vfb/hEjeRqSD8ns7nqYBaDp6B0Q5FjQxv4u8RY6vo3fIgqTxph645t0HWx7/veJvEOv7kXLAzCYS +6j8Ei8eQb2Fuqo2A1FjJ+dMJTjxQBP2gXui1F8pARSomQbPj0goBNTQ9/4VsX+0tvJg7j2tUuUIN +/0rKA7E4VpSqUBTSvi3R44UNbl71JcoMDOSaZoVY1Aa8M2f0XL9u1+X0S+mwQJ2TSbuIcMBcLJLq +CUbTjfaqbf2mLxWEq4V9T1vUPQbO0I3EYnxKYJ0J0kl3WTYOJmY4lFIVv4kttjbpJVWN/LVFaF46 +Ro4QQcdMTuGS1KnJh2f+olTWPwmadOL5zcQ4bG3CyH3EkHsJH99QZZTUesCwr+BtGa3IcMUE/nuM +HAC8gu4fnUeZh6ZozLGj9fQ/2ZJ6ZDZ3NCY5PcAMp72NlDIQrQytq0jQhM23nAYhKNJ9MgSBt1F5 +55RqL28Ka5vfpeuaolZ/0GQ5dxmUKeXpboQ5H8M8mVVSr/nc2GkHLybLMrdphD36F6hZp8dn93c+ +m3oy1JJzLc7YwKM7pe9YQEPY4Jud897B4BHNCxprOC0WPfu74vW0AiL15eGGXn+cheU3i3bdolFL +TkBLnHYPvm+7i6g7t/p6XXGIVrrrTtwPG9w58WQRO/YYlih84hpIDqaTWjTU5WPDEPTgthVKndsT +GFxUwWsKeAcmh2VpTukO92+XzIUXLU2zuZDhQXHIv5R/j4VhMshfZ9zIY4hYJOcw3vFhQpvsZSbn +Jgm3Nxcka2CoU6r6vLPxI4z9KX3lVluO23tR7drs0bE05hv8TDbq0dW5vJ/SCbOdf13hvLTR/7qR +ucLYRtfva+GlAcUQ1guWRDSJzlTfDNcQaTUOGKjbGviQSQlyD6WxZkB3M4fnxu92zrvb4zzCcKQS +UOiK+rz3PIA8DhtDpSulr9uf0RUcGuyq7H5Dn9HFnLw3QZr7+LVmLfh1w7YM/hhSdXY4AwRb6b1s +lO/0PQxJvQIrl2wsTKGkkTQz6ZPJYy7TReO5qZxD5jr+TWVcwxDqsiUj+peSoTYKlqkwhnbA1vsD +Z8kfOKPwpMJU7j5hwe2zyYC8kEqdG7KRn2RzJSPkIp8K0WyaSB5OxA/TAfKxYEPaMtgN287FjQ0n +Mt9CT+0CGyrIWnfzAvE0q6LWsWkiCjcn3XkJIMq8A7Y6gXZJrTb0oCZrV5orNOPgN8k0eeEv4Tjh +3pheD4gcqzXnTmClT6E1NQQn8d3EA+I1CPg74ucXOsU3vugkBf0J1HoE7oSKH4QWDt1EnSSfzxMW +tJcDcq+HUX5V+Y4g4S130fJSxYHtpmhZJoto+WFqRiucoaN81HoJYmHtPdnSqyJjPEY47h519zvR +b3Oe2vpmFLxbqmAAl39PVzMmz/bNQpAxBZrQNP8aLU/RMHZX753Lh7i3Lz8qO4zr7yx+dSe4RUYG +cMNN2EFY/4aVGQrPTKGCPchVkcAuKDgKr6BfU1JKIqd46MCwtVMbUXm5maVc3dB0OhJsY+U6T5EL +Li9eYnxcfH2C2YSPm6th/kpVx2Vi1U2t6HOiIyXFPCI6NDvKXyj45BduUio+/oNDI6Gj/lp/Soxy +O6Xhjr6w6pvmTiNAd8YHFFca1pgafwYgubiJ2FWoDipiUQa+vUkhystH0KpcgrZecHbJ22wgfw72 +ULSNRgb1bS/pgNRQqqM0XzOhJv+Qtt7D7FmQuMh37hcginvD4FemWLjFhBsUOCo/yZKafsY4L5iR +0bt21RWPppRBSXhNBcA5eKDcSiufePDLaVpRzC2VVTbfTdLx66ZDVgNmQJmdHDwL74uqO6UDI4X1 +SoktMo7JngE3r6IbGbc1HsYDF7LtFiEPeAcOtDOj8fxNk9m9vMxi/ZQ2sumVgunEzkepoRa0eDCZ +9gj+cUetN/ms6jwzFSR/RhrpBzatDkOmZY3xIDHEI5LNT+zJ2DFryDBX1EJ6XzkBixp5oeP5dChK +0os4mWy2WPzo6rTI0/YcWUhKeI07vpiLlFu+DyOUXexWKi5wdjyaM64OE3n/IRj8Fzzd5J2TmiRY +rLXvnIb+35u77cdic+Z0ESAJXR26x18CKrJQXZYVqXuG07DlisK55HTo1LK/4a004uMANN6ymjJu +cpPeVjBLSIrWkJV57nxvIBSK8TBW9NZQuI5GtojPB3rN9PqM5qx21uVLgJFXPvZh2CRYMerXqx0w +ghM82pgCQlcPDF8GntcMgNXBTKw4WOmE5muD6sDT5bZOP+sLSQNMXhZ9OXQK4BcC4dRL5jaGM+Zm +CfnK+D4dccJFrej3VFaXDY72FUaT5HzIGwKNXxodEnXz3Wdsu+I/g+AtnxpcPEFzuWpXabVZAw8/ +77ZjRUFEgzdYhyh3hlSYfjlkJHAqAweXJHMjpsBFo+eq+tYpV1S+5VJKBJvGUTeL/gxd9298fdOs +VkFnDLLu1W/E/+oW8wtmgYPjyl/O5mDjoO34rIOCECAdEzx2oL9yqPTIy8yKrxMC+bzVMVfK1YHd +y+nzA4QYjb5H2dH4H2H3zkjAsmnRVR49fD3ZBj84CHac7zw50tJM3cuilYbHoEfD0i02P1FKo0o0 +vqKmhpV3SjlS3cGTwyqOnYiJKo9Vrars1BgMECUSivCqqFkHI8+EOwPIJSXHV17FqO+CnncNM6IS +6Cgu/03kRlnNARZAAHIaBueEu8XRZTZ+hptapvdvtpU9vn5PCC669dpBfP/6VRqPo5dis4KvcrAi +vBlOWc/Z7U8Dh6Y5A/gxrmdA4BpJgOtcySdl3skaC0lVtU1C2e4bsxuz4lpUEKid3Wb7pECv3DKL +vU/NkNScnKMbQMNUKgJ/vfszoSlHEPYf4TcV3yVf92QjgFlAV89zBxpXJLEPX8Op1wDTcbO6tBE7 +PT2A0ga/U1Sb2zx6p3MgnIi+hTJaSIZNwcrU7D8h6SA2Lw1g8KzzGzWE+JiPQOdgtscXnpRY6BxV +wTD3p6VwVYCZtCa9SDkbgfXyZCNl6yCPLLFcQvWG0NCobV/SNXcjFYCiz1hk17IVLNFtm3xxXJcV +uH0O5hBaxzlYIRUM+zOMP+uyzjrSk9h9sFcewo6rQE7QKc3eUWJYyzgrlQFOeu3LTGvrV5JHIrki +sbj65s8VESk1iHYZtUmU0VYIM0gNMpqvpxqukvNApjpdGnLIJDu8WZBlNJxhHlqICCLEF4Iv2l+2 +TXFNCHljFoEKD8UHJ0gODerxXLXYLag9L8/m07vKaDVZ1eBgpYx3Viry+gFCKxhmqA1HoIfS1fGj +X6CtqpnZGXXaB6Ieo1wDq+3G8a47XP4ramzKFjlAFelAb23620k4cOLsXXsafR1QVqeOZvkvPc/8 +sVdyCODufGZEhSdsqi6RB4W0Vyt57JqxGcH0UaY2fAgKb7gBUteZqGVAtLMyLnBgexxVGXEYg2mz +cIvieSlnsupYaKPXc4MM2x7BPQUGiHrwPB4uFvKmFUcRqRRAc7ow8HPanzwrNc1B/z7DZ+IMh/3N +whh4i/3FKvDKZNtAZV64TE02Qgk8341fb1K6v+Ke4ifqufjvy4EPivD0+qYtG8dvvS4MIMYCuf0S +fGoMwtDt2eo11P0QlVEkVquDgfTu58vOcGUZ8ZdgAaLWbj5Ty+EWe7CrOyKzuy8B5/Ab5ZhTdPN8 +gbCHMMkprnqyt56iubpdtcHHoubbknBTy8KXrXkK4/qy+cryIgPoAVygJLDgn4afrf3XPMctpzOg +sJOeCSP9ncbJo1bR8bDILvtIXbX3hoJ1/2XQTKXUT/m/RQjjEjsWddG7EGV3qv1UqS4/UgR+3Yy8 +5edV5JXJ51MxZlDi4NA4efllrcezVtbhdcDr95hDV9jD/Ox64OVjpclcW3XRti9ik4GXeccy1g9L +MkViF8OdFjnlTXGwhc5EqYHpH2oCKIPv1I88Y948KDxKuqDCDUISLSXWNmpruFOvNSL03ljFmp6S +Ks2tA4qgTQd/XRu2fKRozksXLsXDTwGKa6q0L5+u8S4MABFtKm9rBZB7ImR5m+WvG8AMKdYf+HDO +KXSNwQN+/7K/qwYbMYSv8kaWGsrql6SuU2d47F+2BBNfOdCUyin+hJimtfVNk+jgOL1JxSa+NNWu +nqNhO0HQg1rYEO1l7PMFMoWGt3LgrJ6QVHgstg1+k7Bc0eqdbPVBjHVvTMA5i7V35GbxmrLKIAV2 +mTcC7uNw3gM5JwVLIK7o2VYc3Y087qHAv6d3k+8B+nh6JVNXrZQWtQ5FXtgjBGXWdUTltBtEukhH +u3juK7GLBwArvUCGOo8ROBZRUtXc81o5lo5sW2pB0ODh/Yzmif0vYkp+7IxXVXlkiPIpxbzzGieG +GGnfZLoHQUPeXPJX5U5aYfvuO8H+f1eYibdoFLoVntEgZiK6H6/fBpxVtTKwOowYctIZsDbE4wgu +qlKi1669undV0Af4RNZu1idlKPzxPaI/b5VFQKlO7mgip6HUMk2ut7zSYvCN+H3330bGj+8VkUWb +If55umVo7YDT/DSPoP1aJM8dWOIRI889RyEQipfHobB/Yi5bBGZHVmZZWLlsNxDzDqWb/kF2bQS+ +NWTRF7j+ZQIzA+3l37xhcBVE/TFpG7DB5C7dJfD9unSegbHRDXH+b5UHVB6zaOcW+hxirByTydp+ +Xy1IGT7Nvt02Y+Bs4c9EBchcuHhHifBMxX+SFDLj2zPkgD3zphYDvQGi/gODMsC7CLgs2CkFmgZ0 +cLPgkeL0d00djUIKgN1SbcWN2ZWdfLrIvpTVixsEcVHZzjKf2UNUDl2L+UZnFHw3WwgE+SimiFkO +1OtOvYT5LDSF8Fa8gHZwP5/q6DDh6BRt09NxsH9MsRgAaQd4q3iBxZIfgKLauCWlgS+gK8UuuqE2 +fo89WIldDRd/XQZVnUJpiJtdBwP1g/cf+6ZvqYcydKaZ5Zr59OCDKDwmsAQTLf0rR+94HAXB4bYS ++3DP8GGs41wADwB7yNVk78yYoqigiq+GnVLmyzITcb7xRyLBZtHlOAsu6+Odt4ufOOqbBnTk2y1U +ivI5zkxhrY1WjwriLC6HZFiDB5uCAdgJCre3nCVJxgLKIgXDenuDLvb0CihWMqPfauVNUFwaCCuh +vmMz4NoAoYmdoOKwqzDj2VZrCMxWu9t0nkDIb01KDmfVmHTj+8opiigL6hLHF+fLbU2mNw+FtIhd +KXdHAdWLbAyot7LtJoPc/X/Hu+yALMYL38lB8clFWV1tLgab6LmlLBkqltIcy1i+/G7GqXtIVgF0 +/uGtm/UJSHQOkLF9vN1AjzhI9+IfXERXASc05vAY+x0Bif+/rFz1X6gkWJFq5qYVEg2FjmkgQwdP +M/UoXbJLYwlDfbOyInnrc9ytBM57x9ioD+OXcIXWaxNGNdNyR6AecGhGVAUtqZ6BYJXpt+hjPhPB +sq11wNvcI2Svh2lHGp9BaojF4ugSJW1AziGaQrVX6T3dHti1zkjxrBx0AiZCgfUZgQISwHSx2loV +8lLu6XPqxj7Fo2DBMtoGG6TMaa7K3lD3GQitZ0EUSSh+sEL3qyQCrcmAd+xhSaMcLRY25ro+BnHx +wqTwQntMJ0aZWeM6Kcho3rgKpZLSlFVIXl0D2+ou5a1aDcNs4VXU63OVguS84+V6c/ZxlrmWNyNJ +00i+3MJGR+vCSOrzVvqf1AHsrMzmk7BVvDJkLtMgFVI4yPjBOpoCOy8nzkvBSddWxokMcK0JeR91 +uiGraWnKa//puXALBNeX4w+TgEzezbqXTEVj/CV7Txw5oaam5KEfG7apNCNNuTvfu0y1q8XT6xSZ +/pzwHPPszxFruGCPvyt8TQUTnT66vQnWvDFrQZRtCjvRs9hnNrWcKNB/b45FC5OxnIM4G/pWpjFg +DG0uLVClKMggeP0NocbvN9flg4zuSy86UbwGpOsUGkI76W14QgGNDUP/wMWOVb/jsrNgtApldYR+ +FAmkxEvF3O5LTkk/79r76rMellDXdBa8nIhTBtY+zxDOmZVpw31aNpa1yhJA4hDCLVXsgJJybgYq +aYbXXF8FeyuSiaRq/ucXrd7vHROCtH5eBzT4eNBm+C7GIugUY0TySr80JpmxvKdaTaQNcqjRbmXH +w+yi5MxLRys5qr0DlVvcLoyUI9VyP3HQideodmBA36KjtfWSANqwUoX6UiDI+4rb33Qn8+r7tvN/ +Jav/bLlKMJQ4b1L6wZw2Lnb/JtpoIRwS9jSqa7/smjQ3IVWyt/T8bJjLC6+J+Au8NT+LAVeNhQQU +kf1ZTwFrjWBERgoqPXaYYPBAK+Xjh2mho66Ei/ErryU2/abIf0YxlxcQz6sL5yb+8cITk2hXOeE6 +L72Cd6YjKqde2aN9PU3RDNkR3KhIxftAEF1Oy2zAy8le8oyawYnXxYahk44F5nxe9l8LALffrBdH +z+TbHOAlFGjEEHCXH7g8AWCkTXVqp+zR9UcPhopNfOsLkX3aULUPveW085viphpp/32At5UY8N5/ +zt+GyMKBWfrqBboCHnYTz/xjnTrhnuLu8K8r2F6KvFmLdkQZrydaFN54BzQXj+9iZnyReI15tIYp +k5Mkrj4jNg+58ghMDxiLPZ6CMpSuBOyj1QvoX/JsNjuKWghC84RUQUat3wVpfjIFLazqmkz6IoTd +Roz194G/h2o+4WZneR4Xcww3VFWBOCXEH+RJ2cIdEv8gjonHpfmM8E1M5rm4lRz8K51WypsV4t7k +3cob/f/X8XGgog0v5mJ1hgdYUWAc+lCJgj2utxYOa+FBEzxgZZbVSa9glHCYo+uZgE3+vGjVlQcV +Qd303/IwxO+EJlLJ8QgRvUWtqliudMP/UWWrUpqfCOzZenNT/7RGlXOlDsAUx+W6fDPfP0lIsw4p +EA1MV3vhhdg+TGPeuV6Cq3xPyh7KvOGTtg5ICbqSbsDEPVxk7vDO2SOnCPAKmm5HxoL6Z6KWIJXt +BRdt5w4aPKIsMr5lyQOlL6T47ASpN9xPRdhFjWiyVPhdYPt79ynN5EP8YjXyPhJrhJ9JjxmQ4BLH +//NdpS0IEMVhOs7IySP/aSjDJr3T2IDYJL4Pss0dIqiU4DoICDN5iRRa4vRSDv/urt8IHHgmequ0 +fd/28OF2s+SQ6wH73m83AMrovVa8EdDMXCkeNz+gjbHpWdKb2cCZFUW0t265hYq92WttWt7CTU4a +AH2tZzC9/rimG5HnJxGsqlHjbE7EG8+guhFFQqVmYxz5s+awFFda5+1FKyZstpHabwx1PyaRgB0u +45Knoz/iKgFJ4DeExNmE8oTIZ1uzy0aXlzR6ZAdu+0N4XhAr+SYIvlMj+ktzbnmgtgTfYD+whi2V +2+XBzxkgaVfyBg3aD/N8qBVZBOk6oiQass4iv1JcIpU423SIe5PpOS4B7vmmoYsAxFUCK9D+I8ik +iwyU708VzubAJedQdP4m1kdJU4yu6tH1bMmwyvrJDDe0h1CXpIK4aYC1kWeLD1bLrzxUQY/9bZaa +68NfgnAtWYxZJRYRkM1L3XdRk640gqWzA77U0aBacuptyjuGxkA7B/l/Ql4Q/+NiNG2HAJPn89Qz +jGI0ExbTTNUQnHIilUDG6YzffvgpnxWPanq5/Bvg+ttPgGDFf1k9SuDFJQCs/eJHnbhM3oBqtXHC +RU0pVvuVZjgd2VFUz4RohlltAf2vl7Ws4gsuny+MR70cXeOAHSwRjeLOE387rpUxW4u06nOcP9+R +309Km85gvvV6dLqjszemPBbfh4rn9GtFcoWoNi+g46q6Xt6pmEOVUE3ZrACEk0qB7xhKC3hYlLG8 +8EVGw3m+a56x0nnd6nvH4U0KVTn6icz9IcswHYHBNuLU5bk4sCgPCX0tma4Ml/IYbbN+TuftmNBF +WFipOQ6JW8g/BsoKYc3oAAImRsmiSy3CAzdEtNRPj06UGxoLanEhfcBEZvOeEpGLF6QqY1ilm8Xo +Gseax1ldLEzSj/kXn/OIWNxBHgVg6Dz8m1LBVkmiA3Sdc8n1TUAs2JTH/IoCO3YRr25d9U997Kn8 +ipNbWbJhQ4mOIvbgkHLAGMZg7OXp7LiSoxDg7WioO+IrM1qJLzNMTeBDxumZP33r9XG4IKfMASkF +zI3l709ePtHp+/ubNxWXNzfdpu9Dc4ho+cJ6rpZl/hfsQ6L8FHhwDmfNgLTufD518Nx0suFPkPmx +nHTyGlkETKfKBjCxCdVYtGD3Vg5nxdUU7+Cls5k1r6Qs0HB2whiMfweSZInxl9HSFk16MBj2/0N2 +QpujjQd8aU/XigKR+Bj+Nc2QxG6Fl98/t3iUjstuRuu50xh8DL1fj+Kr+b7LpWo0Yf1vK+gCr6ik +2lw4pcnjZ9oYEvME+LCADA6HMufe9LPYgSodtpa7utdRA6cXLEVCvk7mbtvMZaiWE1CrHOG5NavO +/Us/GPA5ogVs0PEFt57oJvgF6vWNOQdMAnNSoYPcEoLbaNB4uC8EiS9b4vHDSd2t7P7pFVSg5CIb +vsvss5zJnsDBIM0mmIwoD+bsHX/exfCBWGg9CDWUiJQhthNdfARHijb7qKlNt9jsZIRm+MZtJ0Uh +dKr4ZDP+0hPEb6pL5wjQSvq732nw6BuUWOq8QNIcTCZ1uAPbNx4NbLOZvrZvzH6kYGzAbz/0D8Gr +cjX97aou/tBzrviH0Zm5GiIoniQX1sgNLq1tvpZoViBsPdtULFg63hza4hcBVv6o0V1WrItGGXg3 +hhLZCmkjUZqlyfT+c1QmMJjx1iFXOmtmQms+YiW1uHb1ed4wa6/BoOJu3+2DYn2P1H+dAUQSGnt3 +eAwbmoBUNeMYTaAMEyDFULSuQoQrjqn4uLtHc1V5hD9mcto3i5EZHfKyhyFdR9uHayEDpgSnPBqC +I5DnuMv05OqOeA2QJAjbYnBCSwwVSwPSSi5Ox3pNTL3wg4u6oVQtKeU48kGWIremFuH7YEtr7pzE +PgOuDfBCLpI6DGWZJ3+lwMuTwqSw1YpWte2U1r6Crqp3cl4KvjYBwmvIp5yCgDQmpu/Ifo4smwHM +mK0/IZ+2kSYuVwlYYMt5LHdz0F0GedXnsNHyW5n9H8noD/HfOfQfeBVYlFG7nlnWUOBWuK5W0VPP +Pw/nPJBXJ0ScwX1Gh4O8qq1HAfpvjjOI+/vriXFPWBllOOLrDasnMNidA2aIuid+jMLAudvqRd8W +UtVQNi/lt+Fm3TExsYzGwOYKP7e67jcW6DZVsY/39O/BOPg1Mv7ygwkUofT/G7Zqc48xfJBds7Nx +ZaOxWtuWoCJqiRkyYL86O7bffCGz0TBALXI2YrOYKuxbampnyOz7J9sYlhe1udBihlfehxRTqgUo +3kai7efZrsneX27q6vlANshrh5QzgOh1ea/PcdjdEpo9jHv3czhmGpPSYLndhacUHOhWmggMXhWo +iRtmgkmIJ9GdwsRzEGg6gvbmzCNf0OFXEYc1TxuFzTbwZ5QD3iCMqQNiD1ifVhKXauxTa87dFQEL +if/hZLQMR8OEawHBycJKQFmjxzdVImxKUHd4i/vXfWWo0vVKqCgjkQLImBvt5iP1UZJMLvK5D7I8 +8rVUnCbZAJ7vW14qX/nvviQfrPP3T3jDyHVItp8MMcce3eCuB3twdK1E7+bAQX4h4g6IWS5KVukw +Z9XEFAqDtwA6pIaPkOZ1yyPvbd4xQHOEC8D6YnpDZSDCYgHHCr/f004GeajvAB5d7pYxowrVAqq6 +uAF1BscW7JS+VeZwnHi4Ovac3ZJDRreBKHdcZ/jF6tj4sC2gemS6f/f/wKeqcrLLKlYzZLAIpsM9 +EK2uGM5mkPK5Uknzz9TURWJ+1LjzgKeueLbW/znPEgQeMPKYXzghwuzL9pMFmOQb5NAZiPktRucF +imG096/L+o6johbOaCqN+4c7O5pT85GG0bwoxWNGfGkORIZ/7rGEkZ2YIWBXK3yKcIGo28SzvIHo +4m5htXstz+WHnqZY1lxHdthNtKHC0El/A4GwQz9JixW2rCoAchpSCxMmwiufByd3kIc5g70rn4gR +LG/BbxNlWwBMaupLwNVW031XoLGHbjtHRnB7de5Ie9WAFQIbZ+OB9HiwFKD95GwVB+gUCVDU6rdM +Nh46YyNKUo9WPimXZicRr5x8bSHkoy+3D44SzZzAKNQF3u6SYwvMtc5CLjaIQQnVtXw2/sTT140K +jPSlUJt+rURA8pUeVMeq+91Q6uzX9aio2tC9HYvJMJQUJZgnqmi6UlrZL5sJksvG8idoIIzbjnH4 +bddf/xmbKhI+U3N3CUpVsPQelJunacZIvanDzpWodvo1DGQCts3yLy1n8nFaEsCUiGrEqzn4soDK +hvy1GNJQ/6q5utvsmmU50xBDfsQynCvrThEMEPRuBLbgxp3LSxwd4JhnFVCr5IMpk9hkI+mB/8NU +h/g3rspnoWXk8Vbv2x9mpWZJG3hU/Xgvu0oFEszqRRck6Tj3aBoft9jeQquMiK8b/HJARND35WjH +qoIF6pJYepeENtkARaryQKNbC2pr79OVhqElU5G5hYMS7uv4H08uDSKdGEvskfcPvgQnkIuGrfdi +9OCBoF6Lkj8V5fnF2ljgSRLumrsdmsaXr2sPj391LN7J9aD935v2Fw/CM894Npgyl3ze0VB9WYHw +2oer3hI3dvP9BZVeVejToyAU9ollhF/LmJnPz6xqWOJIanxemuRq00nZOlKC4cdj4CP2MJMJwq+U +PtiiSVYJ7rkcMTY5AgIq4K6eQnwGTrWKdTSlpcp2a7QtCeztthIi0BE3lyFQe0uSrauE/vd2akr9 +qoIufE8Mb66TXStHP0tDW5GzOumCZ8EZ2EYENX60RkoT676q2PnCO+rwiUEgi4ImlYSOlhB6OX8S +QfFUkt9lQ+Vc0eMA9YVe8ojYuZgrZns35bwjwmHHOrGTriIJrbZG60vFFhISEbN7lJQir8R5c/y3 +wM0nRg+qpvRyH3PBReLW1TobB2czL9Vo7UX62o00asslBwmr2ICVAJuhka5pKuEv23X9ZPF2+ENP +ihGmZYVfGn4zHFV+PMqBOiP7yttL01KSDnjC3NFw72YbIJLOBXMOW6ccLmWcOqTFTPh1doByKoqD +ENFbL+h9mknuxcTjwDpawXf8+xyKsz8xdbvQXSjZvBXipcQPdklQ9TQw9rqTSHu8VQlpdEGcUW2B +YFO6cIZ1Nc0aBuELHqxoZ65LYfJregJi3kQlVAymq6C8lQqTPLg4Ck7o9OC9RbCNqZYK0wlIhri8 +p8PqrXAXqTbCkgMqZvqxG5/GnevF2C674JmVP1UIMU7QegXO4QqLA1ZxG227peVH08LEiyKlEWi7 +57WmunjBGD8+zgJcPRwKSBxaH5ceMxMVEpecWxl270BGG7ithI0OCS2gypx2R72+jo9mw25x625z +ODwGilDJcMtQXe3bRoC1KP5dEQ7cSCnDd4x3kevJv6YPYCcjN+DBMl8ybAejZ2CU4yWDHqBsEGyD +TsZq8OaUiNh/GvdOD2Iv+4royAzOq4R5eneevWT1VM/Ylch2dvK2mYcb9dOuCOLgrFvY+p2w7Jyp +LK0m2HfZKWuCKCEm4hcGvchPaAZhWazAFELJ+FtIcWAgGslATrGCmc1uBWjhXleIYOSyFoJo1tzk +vaTQcvlY0qVeWYzIYEv3XoGmDo/i6HPYGZUZhNvMLoOaZH0LS6i7ido8pqeLEcYx3pu4JqaXy2LC +6tN5dWnIDObLTTFUwmpnTQiYacdC5RPvlwqDu+shs7mztfMgTVWrFq+/pN9h67RpzPv5gC6nJBnr +ZSRsFiWSs4FdYKm/PiO8lM21EjDjXmCCjlxOycqv/kkvjtdFfO6vPJKTpRV03DniJ+/kTtUoqrkm +q3ujVDkFC3FyGEBubsKpLVPgB+8oJM2wC1Y27bJLBwFgnXL6S39tXtwuVAMMGnwlvPiYr2DVGaiY +JQXH0OxchlGoWQiXvjDtpF3wzg7Ez/eY+uB6TPTt389HjwU/DBoT2B7ckqLzV8gpEKevZmNSIEce +47yy4XguW0iIL3cdbVUQr0PvqwdsOk3/7+2zniVD3d8uDzrePY7QgZc78T1FyrohxZs6R6d4EZx2 +I4yxzM2erc/KjwK0KCywTq1Eil56D43ECzB3lKoE4xYF69u/42qbp/HPG/hhT6EBgBqmMTyeM9ZQ +YlUCc8h/CCnhf7l4+E5MC750ZHeqm/+jStGjJaoSxAbbZZRl5Cs1RZkhAMjVeSom0vmAXBVr5ei7 +4RKh3cZ+D0Hu9Z+x+QtFNEWEurolZYumExx/ZJE7Esk/CUyoT0/ppl8dKPriFiHGwgZOOxWSLEy1 +uzyNRqx4gv1EjKAsCEjj6NOPQ+0q3LvrdT8gQAGG8Bz9Htd1nCbJqen3C1ZvKdafNr3Ua406Yekb +jXKlVTz96IWp+HbFpSBZAHsbrGlWz5TtJYQZcquCa3vivbkbeocSm3/RUYmX9Mw9hfL2cM54QlCh +DjJHtaNzDlBsQrtDp9SnQjh2Q+3kjIm1XvXDbzydbqDH2iP7cEQsJkOatyB0QOe0RFSJBBv9KoWF +UPa+BxKrlau5AQzEE0G72jQZ4+xt6xAUZ8mA7/D9p0UesmD6hSLP59QYpeQ0cMctytD2chXJ56R3 +3hAIPBj5nRdfLnMa4BSG87K6pHLyuQwOfyEtPnEeoyIOMP5IFG2WK+1q2noLTR4Bo8AvY5feAPvX +ttAmbR8y0BxbNSteRj1H4DB3Qzo61Q+felJxQtMBpyOFYvy5AZ8JaOzX69HvwqebVtaZkJ+/tofF +mNDl9r8gLrbfzsLj789o7KXEP9+dpL0jDz04iUUSMVCPa1eo8phsa2HBiE6WmWKiMdtX5GxFo9Ee +anawOJYKZ6uPSjD+WfwZh5SDTS8mEMu2muq/axuCC9KKWnLxEW6mR9UGlOQPLGOHR/62Lrt8Onh8 +aw7ySBVGctpqleNQ0kKpvu9+Qes7YSfxQ7zhz4IffoKKowT3SL4bJHTNAOLf8kz3wXlD7pt4cnDJ +2qBM655FU2MSP7OL+6/p0dbhtzeeGY0Q0hgdo89jGY81Ze8UzDBznVvHm31Uuidv58OIYJ9x0uCx +psXS13fBLtKDnSCk/XAKIiHqG7ltBSiFzHntfpGADFaerf6h/j+9fycbAiJ3r8xgdajh43u1Q1TD +1PcNdyIdXHHW4kBSH6x0Z8qOh7pEitkkd+DPsF5kzD7Yd04tKon6BE6HmhUKoHH8b2jJdGCezCQ3 +9u7Kj/eJvg4BAQqxzIWL0Zh6n0rtlT9D3YhGbqG9DdnGEfNR5UyPVq8mOBpyjbVTfVYYw0FGWOin +10cpNyftdoZc28tzUZXmVkxP1p+gVdkoV/f3cBKdAszwmpw45sH7e38DcSF08bl0OCX53JJkgFk1 +cN+jhmIyIYHg67jq7iTS+/P0o9f427ergD6W0IFP6XKNEaLEGg5brXXHdFrMwn7/umz77p2OLEKt +D7vNIhFZ6dfkoquq0XxCwvVJCvWCgK1CMMuh2ank54Iyl1RDw35Rfiv7kHOB2ukMrGO73hmkqBlI +pxA4ZfNOJUQbNwuFWHuBqqb5us0owZP5EdIxOhm6kZPN20R/zEX1R8fagGZQergy25ZXcWtcyHQC +LaX/MfUGTZWSUr4ORVpxLINR+9LZpOb24Oi1H/tWgIiKfqwjOUbnIXAsL2TUE2h/9bFZ5Efs4xYd +OyCJt3v2SzBBakase0VHRvP5pGUE8/cElxRda2XoW0d3Ax2RQADSk1t9moU9JPpu612nF7wM9e2l +5iBhDs2r6bvc5WmVOOcH9eH1o2sr8eCJEfr62a1aTNOClybjJMIBlMT1MBubSPv32VaHHYUpAr2c +e5plU02180EHQ2R9HIcPVFLFxQnIREhNvJg7HaHTGpgu9pT1uMCTd5W/LnOMuFU2xn76W1U7lyxv +rJCys3jEQqhnv8CJzZwHkT+IaV9pYH/yXnlCbNDE+PSjRtnoS+lnv8Tvbk+2cFnU7ExQ5F5uPUAw +ZQ1CUMvh6FRHnO/Bye5eJbL359xfrXWAeTLdpPmyJZqzCXNXHBI7KjIs5vxeWX6bewXks6SdVkDn +W8n5NlKNs1M53di4Uy06JeAQlV6mbOLBQEKbILz2Zg6vrpA/M/4EPi0rxGDwWxThuWs7feLTYCgk +nIUP+AhCWSqYOR8HHRcC/nB4XphsHmx2uiw6PEZwopflM0TJojzssZ1H2N7rXnCnoiXoozsfNhOA +QfPB4pV3pdJsx8uEIXnF6mc3yptxLaCDgqsvgOUf4DqQ2Oi8LFAiQVQmxoyWpQCthVJnn+EBamEu +a+fBQKa0pKx34Pjwb+BVroTIr3EW+6F3lKHvXJiqZnHmX6LtXaCAgbMe16erullomEXoVtbjRwaQ +dJKNcDDU0nBuLHgOpj9bwiHFGsYcPMF+BwxcwQT0Kyh6x63KAPj/ert2/4cY4bR4wQiHlWLAi94y +U3nIAzR9wabi207ilnLhOD33BVK5dR5G6ldtaVvpmbsAFV7VfrzEnqaVAQO5A45OkoDn9kA7XzHY +LMK2g9ux1fgIyaA+RJXc04iCxLn1fVYd+sR9Gv0c52uDcA8lXUDEFTl4JkaROtH7vhSczfJ4Xpig +URVcth/Kw+MWJm7mRCsF/YF+1hFUfJVQmH6bipaYTnHCEbIKmMnOdu0y+qZjS0NfaQgOhKAF1J++ +8uivnFr6R28nUa1s6e7ifcIMZ/lqJ5ojSym+n7WsPYCGtyi9tvV8I6SSyd2cdvAZBg1hvFruXpqB +IjQMaWW0xWogNcB+pFeJsX+I3eI5nC/Afu1/PMVff0KF0cnBnC9LQTIqcYEiFk47RJ/GLSUVdQ4W +wfK+H8ueoT5pQhdmkdgNFjq2wP1wHNFGlPa1p4GRRDKBrqKXI6FAD83q5XY+kH/TNUvz8AlbTrZc +NCgFkEGpUN0ywUJ6kcm9nhq3uqH/+7mH1yNXsekliUTMqSg77Os145efQalA0a/GUV5LkJ3YlX47 +sNg3VBHPDicAd5skCwxNxpAZQHKrwDoKOvAugfsIB1raC6OVXwVI8wi/ZUBLiRKRMBys/tRjz2Ak ++763NcikqJnK/Frk3/WnscZNpDKueFnakk1tP+CKHu58QD9QxmSl2rOECUzrfKvSG8x6JmV+SH0o +zFas3AH0BzjOXxnoWHRAigV62QA8aIqufuhx92x3hOb7zeGiBAj+m3KeKh7ZvThBjnc1+VNvVNuG +LVZyRb+d6nS1fQhpdmXLOYrfYiV7yf1RPAXDMTUAYjFBsCerHFFMpFg3gpxz/F2n9VIupM8NeRrW +oLztFnhCjZP6HCR5/Vb5ytTMCfvWRpvbVpvmlH4oWaMnHcmXe5FgZezIeWrJMveViwQ5KLCRV2U4 +lOd+bJlq7bbZEe1QlgLkRAzS+pdxMwVqYwlk6dxTfY/ALt1ekyXrh53n1pJkTV0S5sDvx+++Vz0U +Q0YVo0uOz2sB/6yQgjeBpphSDUCsV4RoKlUjaXHWCIU5HH9sZ9EHdQiiEHo9IO5QX35fc4KRQLQG +sgTaSqiZMX2bP+p7cPzd79tBs3PRijiLKzaxCOm9i4pYDAIfnt9j+uVou5todfzutG8gc7Ys30Ge +AMlvYlUmZHRgftvy0tB4vwzc8bn7uhHPcutgQXOwhC9OFmzsz65/Ow+ctvmU+0dTL167+a09QYrN +DV1x1nl5hJgNBFqBSYnfD0dBAVZB7aFqrBPu47gMJEHT9TtW4VOaMzQIEaJ32DQzoRvFEDEurGpc +r2ZjKJ+qrf2fsNYenp/ZZga9EPH5q7sT7Bu/iap/qwNVCnN78f+aDxwvJpuRY6SwWyIxbWN0Dys1 +JxJN17fH0NW1FxGqmnYhyD1n/OEGLuLobd3zJT9SgDq2nEMZD/FvjVMAxekk0nOsxC3sKLhT9jGb +NctVgiJPWZaArBUy74ToLgNhYxuJSuDgo1nTTlAl6t7lAh84tC3917rf3bIKZgCxyRLy57jal/JG +mBd8q09f8s+AP3ZdyZf7sVm91KyAZuQSdzJH1VMKRZv62bf4Pcrvbqrk4GwTSvv2nzGBGgzH651q +1MerQ8XdbVUsAi/FFri7UKUhZDm0Sws00JrY58NTGallwaraMQPRlXzj8r/gGmnmqe4dTLlo16PD +r9Coqr4v+hQF9lrILgoTVGAXIl0TVt8hNgezqp39+uzg6c7Vfl4Z32PqE4wZo/WSd0Nzv3tJbgj7 +bGQsTicrctYR6AE6KmaWzBymyaJCbJpl+w5XtbQlj88b5rTC20BY16t1o1OiN6SV811apJpxBm52 +HptmtPVTrQL70Zoq5f6k1gyfl/53EQMua+4jcl9e6DKmQgYCSsvpA9l9aDbSPLiAlU0qAmL2voCc +YEw2tGe/7sP5zIQqpNDgoCEcLFHhmrzfxqWAlxPPqqUImq12Xwh1FMIFdi7pyYEOxLBJW+Zs/Mm2 +muuEqctiweDlwXLSOhiR4l247s3ddJr/oGIzgPE9foB3mQhJoNDmuP4NWTNYUp39vpBNpHYOD0I9 +ndh5Ypbj3D9kJUwHJ2OjR54mHDaIiXjJZPJuDAp81X4JRD9AwAGbJl3PdNCDdxQDnWd4ieYNmIQX +M6RVV7/PxjqFpD24Z9ICmiziu6e+OGI+RnNtwdcqkKTvPW+oTsoGhx8d6QSlJyLljEFIPb5R9Hu6 +Oj8ULXx+J62sN19bh3HjYFGZ82LPJQ4fQEe6f5NiNiBJdTBtvkdPyqslsPvLBCFArqKug9+N/b/N +8Md9Foy10wrJBtzbpp8iu8CPyNUFgqM3os3IofH5vBfk7qJtzQymxGJDxoqgzFHYCG0Q8J86alzD +t+JR6WhG1vDI+VpI8XHpQnfX8KB4Lj1v8D/8v2fr+rZfIt22FNAQaMxLbxMNY4Wl1gWGECbedlqD +yXB/QZmZaZFBGjOLLSjeeflThxmXNlW0Pi9SOKdYzMBBvjFyFgkaLPctZdpimgc40gb5oGjfzWSb +uAmvehv5rq/zp6GNLNA9lf+JK8zIvE70IiQIugoB5cRTQnkJPGyf/toAxiDdp2BCImKPFfRseywC +/r2FjQQMZQXm6nNT+HBuOOnelcWI3JGlwcJd9eBE8YK1NCkKFHIvVml78nQkFLeBVeVsgUd2N7Nj +T9YCaKUdIHfQKqWdAQ7ZcF1Anb0O0Qqv6V14KEYjqNmwv3RTbHsyLyQXarPlfAFEqXvloBdC/0bR +tkvcKmDvLQWe5+z5746lJFQUWVU3ppxypr/u1czVDSTwDbkdA7r9QWi8b1wPf4YxZfJBAaTbGKJv +mMv/8PPFArK6purIxCobX1XOVEaYBvgbi23NOquFtE3j6/PDn0UbNhGT6dIoqce+JTxdkWgT9AS0 +Rfs7TtmzJLTIDwAO1S7UPNi9YcJ+LZGap82VbxjEGEL5L4hM22ToUPqj1POho25csjWBxTcKNNfS +kgKWxdcmHba0lXw08GkBJWnd5A2l8DJIEFoQ6aK2tWFKUbUw3f1rBUcJF2/UDZii0HzOVDYqCn6W +fgyxiZ5ZVUEFBBwvYDP8JxOACD/b6T/IFvK2o5sEzMc0jYVQeMtpYE/6x0U40TCOdkbYes2UlugY +xpjx3LdoOdVLlXw3Fsa3b+El/2YhJH2qytBzGGcOGpYLelUgOE9NHQ5sKxEnXi1OaPMgDCrwiZuF +5bgkaV54C3rRqtduj57znu2J8vswcydXlUYgOTyV79/4wgiXV+w2ziSIDeMrOJObd2TnP28GBnjq +jtD9K0FLv3ib95VU2i4Csb3Vj5vHMFJTr4WC29LACczmppC1E/XktH5vuv5Fr1ZjYfup9gJyB6DB +0KWm0Emiz3LUljjoKJzVlWcvmSuf+ZoywAcEIGSgFQeMb3UdBTsBul3g6s+tFGNqkEWXiVe+iLiZ +hngCjEE6czie9iICGLctCNMmAYt1W73A9AYkKb/WDFDn5YrtD5SPm4g7d83aZZAwXNOJvSqa6o9a +nLSYyyaTTX1pSDtwjtlDKazLAgqfeSKWd5AdfRMdnRfZJRfbRfr4bp5qrEv8mH5jGHjab8fiHrYe +3CrepnIJyBh01rfI9xHc12kxZJVtJ9+ojXu2+WxcczbiSX0hRcmRSgLei2FeWTp14CThJ777cloV +lnpsGm2UFk8nmVTWvmyRyQyrqcmYHcdyhudNSC92akE69ub22OlCqFNhh3JkNkle90Umz2RlmmWL +GfxwksMRamlXHNnGi7xW/AKjdn8/WuR0N1z+Ojlspy+6g/L1cWu6IqeB8BGLLWSZl7rukCDtD4ZF +joLF03R7yJQT8rEM3nzzmVzM16gWsgkxrUPiRlYenXMWlq7+E4SXX5/gH5rrwShFNsMy33tAC1E3 +AMQX9fAy8npY4NDP/ZaCxSBvlUniduUpUmxmuyTEqgty6GQ3x9UQ6vtGRA14HfMBBOJuTlW7h0/+ +QXzLUcsuVJ5i5DVNjPrDuoD6G3tywiGdJjCsIrmFEwDYE331EgFgnP0xn6RP4AR3FKNOcOss6ax8 +MbPRaH28eKIzYMWq5ES/sqX6Y+1foEHCYYU4YzOfX9ZPSvXywEgGCorIAeRP6QMQbzoFigf10U35 +20GDszkIqfD4g3DzkXY9vsopZ+TQj4gjmGegLPeXY5tOcUyYCm0TuDpvBCqjuK3VRwxhwnjmGPPm +FlZl//NGFwrsLqqpDZhg4pONNTbx7wCaKXteoDz3B8dxYe9iMbcP9bdzOIZyC7Iz1QA177HxVGVM +/VUwWyNC5D5cQpAtmKeXEzFMvsy7HCA8Fobl5movUOs4/GKsbWT7s3Wc89qpDVoDFKB85/FuUkO0 ++s3B/O8Wdp5yphPmTLyMcU4n29KeSrowYMxXgfPv8RLe5k5IaLEnYQlsbLuBuozUyTL9mGsE8wTf +Xhx/VrnoNfQ7+CbvzuFckhgf+mVBtGW3yzhe8k2TmhcpqFSdt3Vgb8kmkvzezb87qekn3NF8Dpug +ZHg30MQbeRjpvr2yJ1hksK6m0C6CvNxo5V+FCwhQ9KA/KUv3IR05Mfvw8yLd9hKi7K3HrjWHrQWl +i5HZ3BRZSvBRq42kGJb3vLe3pPdiWPWrGWT26+DvmvDD/Y67gm7OwRiZ7cUBndZBk3dJm4k7Min9 +X3lW6+4fyvm668xTUIbswZk00+oChCoRw0R1dRKYL1ukR4njdNKYqiKWkk8LyEzIrXLTlLnPQ1yE +5IDwA/6f0rMwHa+/VWoVBnndqggloJGch6N8kcUCwNRCyYoSh6Is9/OCi+1vpwvVX9DGeXYYiJNf +cFcCMxv14zj1OAbKnbGbBfCCH06Wq2xPj8lTVcC9tnVZtKn/DJ5/vUzRuhbcJzovNRkMdv86VNX/ +ue4O0lRBwxhFyxtGrJYyc7Ssbl8NZdqQuhkU450aVYYfFD5MF2K+hmbBf4LUkL4U68WPcSLl13ko +IMQFGAZOWmG247ruL/E0mB7J5cEDRaDrv42CYoLwX8oPasiW24Xcl8UQh39/SsePCVl7FVMvxRwU +XMKPU2dKHlVpE3v/LriFF+I4LuKHRHzVQuEp6hS+DwGVZj8PJaXpMkLUfAEcwqIZInFX0Wc+KW6s +2/ibYVEV6+n1T+RtfWu6vpCMxfgfvI8P5cj8i2UhggAf18TJYpkf+dy1nDWzcuLW9tkatrULR+hy +PEjnqF8J0gGyYBBnE04NHrQJt3fBgUkI/gZusB7Pri50EuZXkP/z76wORxfnohLA66kscmMk/6Q9 +eHi0B+tfMljspSxSf5jdsgIF1+/Z/JpBp8bjmOSHlTGn6dzf+rWOf2fuZ2RfSKCfQGUhSh8YEwKL +zLFOUPwdzVrNIcXOlhSEX6jCH4QlE7z26lNfzODrl/gDltIJzFArcYm0clSidscWI9Oonk+lG6QY +0HxTI6R3ScpDx+e3JDn15l4xnKBZlBTwUIkeQcpXcaIlxpgeVAHJ1t56NtmkH7zX7ySAYmctTrO/ +kkSUU+/gp1dSqxzPbkE2EwyRrZVDkexC1NR+zz8kfu/RFr+3I4dB0/Zw9RJAq3A/xe+4ucdduL5e +T7pA0v7X+TVEho3thcycjSxu0ylP+y4Xhez+xoBGh/VmR6+rnD+m6s8p5VioY1vzVIAg2KTLdVXG +DTT2wTz3HRUjNFRj90XG8kacSAopKiyALJUl5pBNa1BJnNrItay+MMmYjB5JDGPBKklrMo+zelBE +ZZ4iX77jqa8Glrv9t3k/D4P4i8kEteWGs/IYbLN60kbbWPc8HnYNgiyuJNmwVat7ziV52esi4l/k +BCw07vq5ysBYrdzgDlgLofw5ACG9NI9CP1UfUYbyR4GWCMeGX6vc9Mm313bSGn7x84z/ErW6OzV6 +rSI/alN7n4r7X9NuzfRriIabXDYtwWR3Y9+ZoK824unk6HUXIKH6V14+xg+VQJLhw1VA6hla8MrL +9VwLzBbaZJmE04uanyqFVUE/mYKSD+2k8U746hS9uTUc9AySlSqa/Wug+bmlWII+wpupWcsngkyV +bmF8hOT3kDS2WsaZauSQwgJgBqfl/poPFb6ZmfPTD9OGMBzDbNXQjIkAP1ybdFkqnaD356PsTliQ +bPq8Lh73eeY2fBnFzAPFvBec6Y4dQVK3o4ou5kPnWKQaWPT/2BmPTAMGxeANk5bZjpWiYrYylJ1Q +1ckqYNTFstmuYv/YvZDk/fedZobBoZylzT3yEAV66NstcDIOQB5cpi8bJYLg+k3DMdWoYul5lVav +sPgwUzWp/IzpNMGPd5TMaGaEiPaSdGpjI7gux51EjWSm0wvwrqwBEiSaJgGcdBK9mIkdduz1CGXd +gzU1jgK7Ly9ZMhtYc7M2e4Ga6c19xX0xDmOX7c7oyRys3otGMb84yMt5Wl6EsNpQRpyp6pUfex2d +f1R2C9nqETdOD3VkukZYE4ga7TlODdkNqrFACjysIEiK2mWiHQlC+2wNOLva3GY9PyRNzmRkzbjr +FymG+dfPXiwqyGj/eNKg/OShDgmae4yQ7TMaviSa2fzAOoZY9WsWOk89LIO0CrYkN2w21vL57LZq +V4onPRf8O6TFM4N153mqJDtSigwb6T2LfRZi05gw855OuoS22U0mTGHXhgEoCJVvjH82diWkp03r +bIaO2UuKbIZs03DLR+NEWgWii/+33QF7mDgIHtxPKXzhFWLeNM6hk5WkL3CBxaS4xnIRCI/ktnAG +4wMQ0mj8gUX8yZT9qtU5S+zNiqaGhBLzKJDWEwINkYJ8KyS0rRYEKrGs6P80nh5hZMlh+C0kBspv +rVz/LvO6NO2V/VRUi0Yrx7mv9xSIDs33a/5ZQTgZ/8uXvbPjSl0LLfzbfPCK2gmrCDpm4s0u3nDD +IPpNzVv4DHW/pgiOcyYbnwitrekXUoE3Q79DeFk63CAdDJJUpIemz4f5veb+bgdZayr0QnXeAGfn +CObelINZftk/x9LoVGAERsilMlr8TEQmiolsKTu4FpsLtPQWg3zml/QkBKWDKpMuxsthw8Oy2s2F +ArCQvstDBLhz7oNhZJlXgFimDie8g51xVDyEbaGwBehZkDjnH9qdEcLvU+wjwbB8FfYaOVWdUYTD +symU64pXYjZ8S3tNKA5p2XJHjyG4VOtGf5bXI5m3I/qQWVmUndaWVmQIZgA6ZEDLgWF7SRF4K2er +o6pfs11s0LWhWtuH+EEXc6kidcHAhGPM/0zgTL5P0HpO5Xhf4SsWFmFYfY4kcUt5NgHZRbXzegV2 +EFPNqI77G1GWdPxNYvkR0FyAikRh3roZFmRffMRnQSKz/qWSDrB2M04Kcq10pEZS+AfffGc2MHpJ +hRaT6eTZDWponOvpgFVuYO1PqJ6JNxX/ZcDen3q/M9YQHbazTwz5pAkrH8f1M5vSCDYPsMLQ19tj +HiOvisLBLV0a1G8GyFd+OJsz5zlgMBUw3sdlSe8UYQMhn5K4ktGMTw8FKGnK9t9PHX+/hG3WEFhc +OM4bJCtki+/oVZOSX2IjM8QkwakSBEQj20vtlMkeH4vo5sE/qfLUMG8ODNvm2jmMr7IelInUzFc3 +3gkzfJJ0CoIiuyS7FdEzM7KNciD4rUB66MEXqo102qYTB9GYiWpxueG7nSOkvV/4eH6eF2Xtlyot +7dyt4eWP0PQabm5Q8oPyelzEZVPzqq/Wu0tt66loewIVIS0beRASvIhe/ZWOymBm2mJVnyI3WaiG +jIU9i//WYJSMeqsFNe5oS2rGxBWsEjjqxsw5Zt5grzKg7mi2NMhDHfjF4RqSMv729D0OqPv5covZ +u2xtmk+JVnDBV77hVz1Tui8vUjNp2VF8JVglAnLZ2iaaoFd6BcJqMu9JCEZyb1wCMLXEO/77NRS3 +W8jK7nVZc/pCrX+QUYe0o0oV1de64tpkfWArgT+IkEvmTL2mAU2n5TPUTTKA5c3XmJwnR3oneIPh ++hyQZTem3UhN97yD2vZL4zEijJ6zB4C+P2a/ykaXEr8C1p5qq0eM3LTow+TuYeuNaIsEoId5XRvO +12GG2rBW9WkjLpmZokca6s2Z8JzYbNNVUgUVhNCkeCdmy22QBRvN5IZNid+z1F8TpjFJdpNoBWwE +xwBJF/klGOX2w0WomivqS11Qk3yNfmjlSPeC3YPnJ2F4mkJYCv0ooi/XvTar8KQRE4C0oOQ3RskG +xBw3BO9xVYsl52wTdh4q1mWqytyj4S6GsvptSIQtpwDbQIfd7NwNRc+NHkPElKg3XjtKoqyf4/dM +d2zXqGCwJIXL+ZxG6vRXKhTGHEah8THhmUi5VZRYn0VLJu1IHhqOuK1a6Pa1QbGFULhfmkrq1lAk +0vMfQwnYZJEDYnY2fyKGJ7l7HW2BHuONQ4VQctsrX7Qlig8fgfUX+IjspUxpe/xE3vorLGLLCBfN +L4idbM3/UHaBs2bQ8mwal9/50e21Dyiglh8us7FLJEosWNTy0m3Jw0QIzLxFC9JVHB4eGu+uG8Ow +dFQMAMHuT1+s7pk7pG2jvOw9EjL6zlYV4r1Kw1b6MwbTaKPKiXPemPXkryOv7rnvw7RQquMMMBOR +14nbhu/t0HJP8eb02OI7cp+tbLjj1I95B2w2Z3QTK0dzFO00mSPA5dS8eW9gSM2vtrHl1mHxe6+M +W82hp7SHLB0GXxcPbPT4AU2Az3Xx89GE3Pt6plFcrfxWvnsDoliuD4S1vRM3QkBZmYKGDZhSvH8H +/hD59TfAHcLNxsysfxjHVDxLBMpKO82gKnqhIgkKr7Jzt02ASeYR/I2u5875rFq9bwlC5ChNyv1g +vfOLYiG/kwQ9SgY3RlZKugKlhareN1HNlBGJ9vWCyg5pmFOrYs5cAW97dCvSa2h67TYFSJ69IAA/ +h0SGr56wjoo4/IsNRK7bWfzQkAwygB0+O2dWSjZHjws8GKP4bwspGkTLcE+X5D5IjH3hU8tUCqt8 +LgHyKYc/AW1uUWb2j74SW1hfuS1zmmeTSpUsXvmPYjF7tTjP+QKztCjyrEAo88N8oSiMZvpoMM9F +0i2QPRtyDxxkwIrqy7VAiIantWuXH+vLhkyppAdZK/lHEFfIpj3/UeEwIzG9458qHVRUXfUPDRt6 +n1LRxFYIfSAolsI1VbQvUqKwnycdiq+MT5+Qs8PaidWo1+Cos4QiFbCYvUgqPZYAl7Xsmm/Rqu9k +QpHRNCIUrliPNxUhli92uECmvRsQ75go3wMl3H7bMlyuuJmve7Ew+x7BYjJr5rl4tRJ+rssAWAun +ITBTS9IlvyYMKNyr9VlijYIino+1IBkKoXEueNz7TW4L00AAs4vZNWZ2HaNCZNW5IZq2isgwf3dl +dwxLhSyVc05HtrwgP/6oZHmQdVAppf95ZPoLabhA0Dq3ydEYqWh9KmI/POvw8XGo+w8H07t7OBOn +OOLhEGKLh84IrBxaK9RIA4+uBiSHbuR990DZwkp0WTPSGFJ8Nq5ZxW7JAwzgUq7gE4fzcH2+YFUG +rhFWgwiO/Jj4CKPZa/lyP2LG/hddqW78ErAE4KBWLjj59XyqdXAX1aOb8qiDa4F/eDZnn2d62hIl +GA7ro2+029z6RB3itdKVrWb5S0GWtI4MR2vHH5sBOnaUo1+gkZ32Ty472n4+iGbdQ3i9XvO6j1QE +pRRWSvRTMl5UrC9YS5YLwiFAsF7pXVzS9DUV14aanJT6HYXdAucL0K3Gd1+bNoUsvzUJF/QtWow1 +IRkqD2PRsPZ35bXMgsyIjehsDphOXH4bjrOPQ4EH4iWnVNOfAX5f3gUAv5OJV/DfyoNCLJJDHRY+ +4oxNCjxiBNtciGLKCDGpR3jRcdag0/uxQNKxRfLXriJm+FnP5JouyIhY56oeU6SVEnpOUvdG5Oxo +GCfZgsbqjhJMFa2MKNyWyM2BOnP93KJtPwV0xFsOcSt6uL46R7Hrcw5SHbM9/Pm4K848S78S93VW +rIjAQfGLj8wqbuJwxx3CdfgE+r7cQhbW0R2ydI1otJqftZRQ6fyrZv0mXlxqaPePNosG7ylsmJ15 +P9BiTDgfe9x2hsM9cvzAt2ht7aVmj+tazvx4GnHVtW+S80/zjy1++Gd1VTejDmbMJ7XKQTOEeym/ +zFar91BUZvMiLXEJU4xP7puUciLD0+KH821HPgiYmyhuWYjaDoPfywEnoMOPKKggSlrxaC5VGakO +CmcB996wSKCarSuUS2BZ8PSZ5FYsid1dkP5OYvmDGgu7bkhwhtfrl0Y77w5jtYUK/I5d49bT7qSC +yRWT4zh6ZGxzli4dX5hmcH5a9YekaMnCxgf0Wn5JV0M6XOnDAZs8rJa1NrIFS0MQ1mc0dVFUV37P +XB2a2+0eNA5xJnwrbzJo0jJ4UMQPbDf4rhCF33Hv475x8eybrSSz4V6W6DvMrIYJHEQa/Vq1+QXH +WySQRMXQnaQqM5vK9rB+oDuJ0mwn8s6sA7e7Ia4GQmcBB70pyZme+1OUcdC3/S2Zfa85ugNQwAxs +wFCpZlgZBnqykLI/UoVAY7MBokCb+CFb9W2iLaXAm0R0BIneOp2X8DevQlkUJ0nQjoPjDm6HEojv +ro3f7M3ahLRDbBwIP5k7uIC2LXaiwvF2PkXBu5gvxsxOZg0yJ/mzBa/1xm3KUVlnrHN6d/A7p6cs +mTvupvYbu2aJ9a2dxyvkiSTZqGpExDxPEtAAl0+AnDham01j/+ysu5ndNegtTip7t+gkOj+beNY/ +u/QRBHRzfQeji7ekNfEMmZNbU1+spI3EVNy0wSG50d2n31siCl7wz3Swj1f/F0kWOrsjCwadMrrR +ICnR2QCaBwqDJAvAUKb3bzshhBWAG5tIx5B0qC4K8+wluPYBZL2/fA8Z2u5GgEWowQXjGVW1nUig +j9h0PIsV2/wr2c61ZF8K/OUwit1DevbJS/O6+vpIVkOfmbavEBxa0l6LB68nGLIm8HymyRW0XDwv +d71g0LaXejoTFTNxnj5SGjz+jmubRkvYzv9l15gBBK9sBOJ2Zxl0AE7Swx3Wd+qtCaBxkAjCcauQ +nSwu99aXYkTjWs5McQxvH54JJC0gMOt1Ev1CbPZrv6tUoV/JWx25CBUxP1EY+/R4K7iEqnvbobYD +XNQl+Hzpj9X/JNotA7/aG2ycC9mZiFbBjfzf8VyG8KvTpMGf421xFyMCfBeLwZGd2fNSiBSjQGSP +YTDFj3BaOQWi/WPVArn8mjHgAAE4iC+oeSOp0bS++1iGYNkNwjDI8GunschywV81NRgDy0aeMIKB +AT6elj8UtYVN7Ff8cIPTE0iGRMlmrcU5P0uQh+qomR2/YYbIHFSDitOnVJaQCTUiQG8xiYFJFR+J +efE4GDOoo0tPOH34kVmbGFMWJUaHUNlb2/qq985X2dHwoPEROJ/QpYW0xLRQFas7Kitt7CCXWO45 +3g5/6X317zTsLEMSMlcOoavMe1NsNsbKv9UkzlIp8rVTDM7b4A6R3Jp+865ERFLtnAFyXG9yp8c6 +11DIyVNqxRNoMsPwdd/741fUI/eaGiWq5KJgaXTgCFZHYelKW1Wz3tJBdXunmhaBz/GDdhlEXl8+ +/NcHDguYXMZugmH2Rg7Nd8wEhLg+Co3HJONKIzgk6+2fFhi6IaeewctKwbBHCzMwZg4GHnbJ+Mrj +NkeCcz9kPLjhmGblIJFvy0DvOVXbAxP3nH7wUCfsEqvJH5Hi1EEfCCjpweQ3vLs6dSUQwsePRWA8 +1SRnXxZybzJSdUOtfmFae5LIQNYqc6NjqZgYorA0XXGR+aMpcBUYrpcWcHhGlg0MSx481LOKSzWs +i7GTuYAaZiLgIptvhLBwzo86YrCjJhO55EIjHlV5unb6+KjHuNVOmLTcmKErR4M3FpcbU5JtC7xt +udbZyidtjTiEu4rrDnL+qW+JtdEj0VUoutEYEs51npm0tw99XI8HeC9i8i3IgEEmafuulj20xoe9 +sQqaaLaw9afoIGAAvq9zKEcTQ6Jra/kFmcXpNXP7o8uxnntic7r7UxQHo85zvMGiq2c0ajgyZX42 +eIfSzZ/nDuqwnPX246v5e/E5qbMe7GKFM7oGwebYr1ueh75Cbn8xV5Zc9z0gmc7UA3JYV3cp4ora +tE2aeNYJ7/J8RFC110cIeazqi8YL5xatfFDiYy7+Z+aSaLTsDEcutJaGekvW+8t4HsU54ApbkWgw ++t8fDcG1yiNQVVSQZxLrq9uOVbJrsvZrrxnQCARrn93NazN7cZyoe8/UDevteyKlsLwmkBx0zfgZ +ztWnlJe78E+e5Jx9cfq93cLztJPFH0Jx54FfIe8PJkVEbES1B4qkZCRj/72lZ4HERBucVRgicHjN +3gFT3vwd8f7serw67C4g6zhk2pXG1BlOulApnGvRv/t/x6zVK0HPMzON7scjjdVPGtxM9EEbP24z +jGb80V/TzRyHsvKlXSdXF5q0RyZPB0/wQrob9Mjrf9McRaeBpVewvDVc0fKV2doJGZ61cCDj2yqU +CwmS9Og9xBsUjryUxCSTqHi0rZy0Ifq72sDV3E4OADP9N78CuY/3r9ZCCwH5qQ4VYgPkdh+RYRpr +bmyYVSYd5Fhl/Md3XotzaAToUpMVlOb8B48SiZ8K1DP9xWSm3/JbNfK+QM2nk2ADLRfPNb1Bo6Rf +gAXeUhSKAYo+EhBdHhJYi1TE6EVZkPJArRRiH4PBghJOyQRMhn9Qp7YDdazL/KmEWa/TOFTWmy9+ +Jm4Bf2orJ/dTAYjMEJT5eQSSvRor8v5EvAfBEHT5aPJVKHfIVkSmgmfzPcPRTSzcZSgBgLpq8m5v +AdHRkfjCETYfMxnJkMgUrFD+5f2n0m4OBWPcIRwnU4fbHkpLJbKALSKczekPv/L6i7/UAcMt4jOm +8Q3BmFAJwd7D1pXsWiOEaBpInzxwGc8zT/FO+TpvW/YC5W5+Csdlg/KeWYE3zUD2VQ3CKS1BVB1T +DQxxzXPl/Ev0Vn7slPZDyB+Z8dkkkRvFGhEUqB7lepSL9wPtLmj/NvdUly/HFP9/wl78clmoPMen +OnToxs8j7yL6Os29m4hDdAZIPmdqof6MJ9/JtxqrpFZaqRx//hT8JRmxINhSBiEWHMgDsTohcW0D +6BdgIxIysl8iboWi8KxMZj7ytGkn/BYnvw5JMCDX/D2woxfHuucj9JN4xXNbTMuWQxgYuhgF5up5 +uC82hsKPpTJWix3qFLQp3+IsKd8yYekXSaOaKMfH1H/Foi0hh2Pj4xldGSpR4QBn8wq76wIPw7Xr +uzwOWHwfKnBwnoHBSC/DJedYNgR/ffTQhV5zjUHCwIjjhu1NNxSCxfFy+PV68V4OyzgCkUlW7NRr +vsYYa9QVNfD5TukXYbu5zga26tTDCmm5+5b4ZEufs5OnHNxXJX67PS+nHjYH+1aTkcrzgEAIVCEA +LchBYus6oYm+McZ+xGlBjClW+AGdQLciRi7zdbggqscVXOkBMnA6SXraBYHRO7U5tkGVV1CDr1Ro +gtJXZkOhXEocJSu/tRadfb3Ozf0Bfypvhv9nCHhhmuD63qwjxsccVGGdfXe9QSXqH+UQRe3xyH98 +T2oCCGBjJXH8tfurVM51+6Zn6f2AcungA/6KQD0dhomzPJFSUQGLxZlr0+s8Iqr8GzUHMhEYB1vg +40crBch5HacccOAx7NycCgoFF7NAZnlCWZRUK4uVbgW5jVUmKDwYeDCepv6eeB5ujrESf9agUKei +BkH+Jr8VTg3qgr7DyeGAsVdNZb8uVm2ZE1B0kquQEuKBxZoKHPt3CBCUSO6mR7E5D4TQy03xT534 +wHOZhSHRKmzMfa/2To4ty4Jdj7XhHBxH9xNTHNjaFp9oBTrnrwJgVwk7A+egMNe2vWO3f2a/asEw +TRu5yE0TiX7L2AMpk/HREfRuhv/ATqJ0pG+9yhjP0skiGEbUIlLpj0peCZ2pJoTJxbQJ1k+WNvO+ +3gRKdn1KAgDNgvDcVHT11iMMXJy9TinVY99itD4soh9vY02TWicJW3DE5VDKvNwjCJznBaFpY7po +udO+k1MmLbPSWKdShGCmIpx4WQg4hxnYacM5dW8u9ZIONltGqJWhO6dDzFfUwb6wn+PVB3+kixnT +ER7DRiaTQtMKf0KR1urVpXbieG7jhxtZwhnN8IM5QFL+WsgxjeyUhERKHHYIONLFzM6oAdLZnuG2 +ETgvIFlL9nX+9Er2KfPljGJXZAcXXzsdlrPSG5AQL9xmDOxcyfxcRBDpretEZiP/Aa0k9ahi2iQW +yFCHFukmJfIYyEizF+P38ZOqwKstLQZdIzuAdsrIhltZn0c6AvsZUtI6oyYGnN+kJOYeL+rWggDz +SDitwMVnBMHTx8l+p2FThXf8MXea8Riau0iwCDSmtAgeYiIfM4uEbmpWTjbmnT0DDjFQdsmWlMBA +buUfbT2xWKOFATuxvxArl/N1pf6PxTG9VKXwd1yDE+XTit2YPA9ShjCkhqrX4g+hNw1E1HqMDdeO +p2iQ7BvB2oygJEdevnZGi+hOnbOMuZWgSkbauIm2VANrSbyy4jPNDIMhKSohd4Np7X7S0l5SQWno +KB4UnlBWJhO1ktpXPcFlWsY9aaGyr69xUHKDOOiZQqSDPdL49aUpIewo/MHGBFA8F2BhfHAJGS0/ +KiQmrXEqGh1zoxQwl9DaVO4Q/RUmELpu6wXMVr22riuKtyffZMTdONqqINEQHH9w2NpOJsg/nV53 +mQnrFQpwKF/14yEtFyLavzJfLHehq7nmIgpd9ILOIEWU3RxTEDfw0QRKvgP7w7WQ3YtfbqjWKc7I +J31cBAy76ZlAGhR5eOqElfexTpaw0AvawRktav7gGMPIY1JEVqsaLQ1L0HAJi8MROJz79QYXuqHH +IYFdRg3y/DYAxRR2L5trm60qfR3uALADzY7Hsd5kxEWQDO2I+X11WEXMKgvz1xCQI3EDCNDHVfdS +SmUapZJd25uZ60ZwtvKq6gAHq8W7ldjkVnnxP1/Hn/yC5h56qX9d3mr3ET745yYJg8FfLepqoGlR +CSax5UoHAMWpdLn8bht4S5tqe2jGl5zltedtSSXHHsjgOMfFOcHWthDefGk9LhRig/kopms41hAC +SJnls/fjsjdiW5+5qlD7nbVCZuJE44S3fnNmm2Cy4CpMULe4YcSejgd8FtufSAOJT81A0VqFs2ad +jLD7kH0AYxdqu/u6HmX3Wy1UVDXsrlv8+QNO6wSVWqG4bDP2PQd98s5xeIc3T5MuNez5+XntgL3a +JuzIRuOZ5fbH784MS/lBvoLE6OptQLY947f8aeQmlf/SFdZpIRIHcTre95m6qUTh9U1Jcygq3gsL ++Le2SeZCB8MaZA+XFn0AXqaWh2FzlIoCR8FrQV2zXXGWhZuPK0xP5iAQBB9V/FhcT6d7z8YpCzkb +l1VTIJPpl7csksfXpG/4IvBA5RSq/AYpGp3S4UWF78q9uNC6kF7Biie9z5/4iKOUgzIMBpCDMLdS +Odq7MPoa9Me+Tz7LNZ6Tw4J+0ADQmLICHZnr4zef7A4WbxtS1G/sZgQgJOdfOHryxW0Yb/P6jnZI +lftA/0POQQEJjaIdNlvpvVZ0qC/osvBto/nxYQxsobtRP/FXofcHQphYFhNDY8gcuZWWpoeNADQ+ +1hULAqYAfpCabNUjA4kA1/LnGc4ntvA8EW/d1mwi19ce8UDBFJKxSBHY1MWaKo+rrcUIdpbUpokQ +Yr0Ea3T2C1BcqFM6nRWa2/YVPxF1vx2QTk1kgRNIxrCh+ZbB4ud2h3vGYxefzWBLODEKYDO+TYse +gNktTTxp/4SSyL4mIbEIdKrT128c4moaR3fOt5XHiOX+GqtoNZOJLum3W650/g0DIELiO54m8Bgy +s/KUiQ9OT59mqCaDrb/OvEHDzsgYN71nsi623Xm0bcVPY5uUniR5c3yqwwCEjoUcys7jo2GtIJqJ +3ZkApZci3mgsa/vtt+BCF3P2CP6/XWHI4QDfME4kHyZpNAF42fxXAHY0Vha/zopKKmuoTzSdzJUt +Jz0N3Bx8yH2lfm6VnwH31YG5x9lPZbAFUJ6Kyttl9pq5uvHvnyhXNTLxnAj8qP/G6mhuz8XPHhuj +ALvOxdfhR0yJyYAEk5BJl04EDtNZr1YaLBpEPSdtHarOHEFnj2d9kt/aK1A/bl7SJAfVCwoNTzCj +RLC8AWP54a2EB6OZK4XIZXmZc0sARt5vsCWgbpky1vbl90rLE5+76q4VFgmJvr816KiK3K4TPs+o +l5eBMhhhDE1XVv8ofWBramsaqPQdbvxyuI1PqHYwS4ziaz+PVBh0TweRkr2GZK4aqX3kUncXReTc +XT1IVJQj12rBBVYmaH2w7GWZJjNqG8jboblvuKG5R+V/UMn8b9zQS/iTTfCfSA6c5SSRApNUutsg +g+jNFoJb0asZBcH+qqY18j33tH6wnltuFOD5xpr/i7i0OMsYk0Fmza2ImRSKieG03NflE5dY4HyA +SeNVdNlk+2ck3gZkeQc4kwT0SYu6ZcdrlxqUxCJ7+/Ppu1fi/HFY5gTxOoJXLbvS9Nsr1XORQplQ +Nhe3vfdPVs1UyMB/054muZ/y2RQqflc507m66aFbcu8mEdy/e4ROdaIV2mAUFwz8tYvJvrF2boPN +mRU2Lrwy+XDWrQJLZ+cEOKUbU7YM6KIJ1V4RED+CHeD3SguaVQETBHbAicbhc2dcq8GmrHQJqNdT +05BJxhmIT5obRBaKOIPqmCAQDnR9dDt6t730BYqSg2+BBXwt3f32d/NStsuOKTWxXDliBW8PoZ28 +XBWA66bLueYjsnR/tEWhEvjdNnx0Aef0bRV3BFf89kDkQQdDw6DNuKwiBMQjGXStik7w5NQNH1d5 +n6Iz1bmMUqQ1TNuv3zAhygeIaxwWqBn0ARxvH/4PGdxsduKYK5HwXOG2dYIVK5Bs/9bgHEZ31wdn ++2RDvDLQZ36dTmzuePDRIXyjO6J9CDWcj6hpe1md3KL44hAv0lhzme7ItcQYZMIrV4q3cqOzCM9z +pwXS33OnWoVBGd2iCZKVbexIooG2nPPFeqKZs+h+AccMkHtnsQ+G2PEtNk5c6vJxN+rtH/Seo3r9 +UWTOyDZ3vOlwUkqo7sHhIdj81vma9A5UV1FY5C0niMqo+eeZIEFzMTyh5EdrEdiQle0Ty/fDUily +M213a5OYhKoxgApdRJsqO+eVXFL4EmhUOyvd5NVBzurTTTsteMS5uDGWPkMyJaRRvwMHLsIdcn/b +Pd3gx8d0ZwVIQ07kPQ8cIilxsBXG7ODZI+iLFRe6VKb/Lty5g1e8KXI/JeTRPhjthZER8QtB+gUC +xqG7qbHZw1cebKMA9Vn5mLQpl24tQdTYVmBkswzhzhPJ9sMStc5tXApwRROil9YZ/mJoAXRXr4rg +M+NbWz2VdF6PiAtoTXMr+lTNmXbHi+wU7suDBGpLwdcjBwzdtqYnP1QbaVOcl0OuK1/f/jju7HmI +zFFEB/j1DUpGJJc8ZSIxLmZbZzyPPj0+HDhNtbJdabd9QBTkjnma9dGq6fdlVJUCs749lwU33LPq +2B1zqsCmh+iacXyTwQQFbbnuKc27t6Q1BujppS1tcZ8XoDl+jft94iuDZurTFEbrQz/eWwlTEA33 +8LCv5vaOQ+8SZAffnAZnimTyvUvZl0XhBpJgZOf8iZQzQdqdMriijdhhUM8W4w7EV+NSvJDGO/Fn +bodKBRn1x5LrrM29l2iUBEzU2w7itIFzlRKP4dyq2TNqxzH3o/lBEyoFDov03hSYEZ3KArkOvtWi +CRw/ByHqpkTumeOlBnGxfZdCYBlt2CiyclSQW6jIW3id6H9RnWb+kzLK+g8Nol/Gsiuy4BOrnUDK +PZsIzf4q9f7HLMMDJkc8jmP7mc91gXUSgo/sBcqv/FajRsp042jYDp5HKZWiEnLjQZc/4plboStd +ZwAggPLKUlggaGTRGonkcax022Zi62/j0fom8HAKLqkMb5IUvaYaUg6M6Qu46QNfb7mijjcFlAgt +xl/KV2qRa7F/UH+tty+w6AI11gcJ87uqM3GzkcneSZ8600NnamM+/kcwQW/caDHIZD1h+Hydf4Qz +asKGyB0PHt7gecNnma/EqHIpNvpyHHGHyUSNbubgM1oWRSgyf20gH3IzayiwnWs35UVAy6Zmo7sP +HgTA8ymVQCgmxxm2yftGNJXLiDLhgipcSaSQ2L1t+Z4WefbAYOXIT+Ttif/afksJFXKtYBL6oj7X +DEz0IT1eSjUR2qqKIPqXxzWSqn0P+XiQCdX+xLvI/U5Dn0F4k4tPQP3rungLNmjs+keWawsuz1c8 +fqAK9nCp/JFxT+8cpXEz1uy8KKazItRandRzBruZ5uCakg3uCnzOfkwzzZA3f3HRwqo/nU8P5iwa +r+Exhf9d0CJkgMfZvBxwCGvU1p9bDJ6TBkJ3488ktKLwltBm+yBIWvWWfzRQAUf1UHUsIV0XmRH8 +maGHJSrSq0+Ls4cdauMbM+tdXxrFX6xQfbuB0pPy6Bt6frqSZ1PwDR47G7UT6E6MQNtm53rxNOdE +q36sGzmR179XmPEL6N/uPKjKqz0KGFxeLGC5M8MpV2pffCaJA+Qbh0g3rdiK49xXZGLJ/8RLuX/m +pJtA/38Onvv2Hr9Efv0iMpL4hUf6QlQ9jSJQeK40L+ERC0a9EbuuYGdOx6Ii7fUpbyrXLRcEa27x +yvTuFoaKxcTF8eIU0qbINODkOgZpzafZANauSThtBPSeX/2pvk67RW3uylgBcrQeU6LTvWh3DvdE +MfY4fI121KgapMTqbnmr8nkw1MiuAv5WGIPr/DEqeCqby5eO46H+lYmkuEAPJD9CT+6zmJeqUlPa +7lFaSfFuFS1+FJzNc2hsbSPBVguMM8Lpimci+Uz5q1SUPT7v8jcb3S8Od1GC3yfiSZiooa/DWWOQ +b9MYs3h+uDhYR6u4CYol462MDj39RG9sXARTb38NyF9MgWU0romeK7K5qd/5/b7UGntqZYBkhcci +KI2CyuxMyk8XM/HpWTO9X6/xhTP5NHwBJ1uHWLLGhpUjQpXH79gCuE6ZXUvqovv7pUmMFnuvIz6J +p3S02rdJTjQqnYbTQzW3yi4R+2xb5kArhq01qVJAew1P2JWga3UhcCXHc8jlc9OMpKHJCQPR92/j +cLTChA0CsRW/UKsksch4KLmvOYX1gM4RXHS3KyhueHmaLqr8uSfvywGQsp/y4xsJJcYp/hH/DMpm +JChrMBOssPtuCdcJtnhQWTeIR+LKmN+Ff8tMfbM3EZ2tS4f5kN0Isd3KCJvJg/+gt7x60VFDew1g +tjoBL8rlKcgOxrisuvUEg1peqOCxiVmnCVu6+eClJrZIW4zR79yiOyLYg443aTgHmPXXjptRDiU1 +VbAY0RMrBZyMQ7ebO3ZvcOXaBEar1k1hurTC26ShAcM1tQPN7CqKSHNhinImeUPZ1iuAHyf/HGCK +yEwhXonxO2m8gPBv9A7/LWB4RR/7LL7fPdhkaXVw+UBpxV/hZtIxMkY6fExdk9hZojU29n2u9Xa/ +ezi82CRgTC8zvpmf2aUpifuMTl4d+OBO8X7JJ8EQnoAAg4OobRM9Vrxpz5zaJQCDuaZfMMIbr8R7 +EfaNMuFoDd5PB8ygjtScCBBPPUb/pF9Iw2hTi9S025g5sWq7PbGBCCt85pNNPVYNxprGzqs5mtXV +USaE+0ZBBnoRirIsdwFcZb7MIhPn3xW6K8tcsNOixYYAzAojUvg2pRidICFcmtvlQD1A4nmEYOb9 +yr1DfB8UNhLcOxWStMH3KXx3a4zzY49HNpI5eI/RssZp2M1vobQHjswx6cSePtgr7gzkigQt8Gjn +2psIHPqCxXMTry0GovCwtoUUjKjNyDSfzBSJiXc9okqczseFztZIj7+C6XVwInl/FDbOOlf0K+H9 +nmPYeaJpjlEISPCBH6KmOe002/zI6MznNBLGylz7fMkFYoVhPo/e6luel+NjeMT0SZaBCqsvnfZS +ev4bk+iIM6Cp3HJocfl5jvFj7SR8assm1YnMVYsySPIgwuDUOLSG1Qn0wR6GYKdvw9qTsikMydAf +cFVKM71QQl0+m70UcSSTdJeAQ+zyzslIsxrjLksvH6qqyLDErzS2RfkzaI1hyCj4Lq2a0RY0fc3Z +pTq4/t9BX9KRndajyOeSGRuoaL1uSVkdOH+NOH3kISJBXswxlG/XKz9flZv+dg+6TNyLvIcXmWeU +S+KGAKD0X5wGnpos0ewFDqlt78hhSZXYxX5bpKBiqCYpEn9UaOQrNUfX2Tz71hQfEvABgMy2utMj +6Ovvy7NotG0S80ynIzxRTVbY4/4hcDGlNKZY4kQWKVsJTntbvxhtwXX+UXPJSDKHOU2l49gpb8TI +32JitsLUcEhVi03olwm4aTFNzEO7vRmFE4ibSIguHpkCFshSbUphi85awKoou1OXKGLDnMD7A82U +pYif4S1AJkpNY3O0zC2qfRl5EdyxMGBj6RsX7S1p4L5+vxqmswchyPsKUM04LGebEcyUcDMZTrrJ +oqlotW5AkFRj+U5Y7NEVc7yc9Hf8k3YkW2f/RvoKFN3JYcX6oaIpE7vn0hhNL0KaVi4lsKv1TlbG +PEYAAm3kNDgxmDh6OI80UyB0vjScgjGuh6FL4EqFPZvZgC3Gpxq34DJVOj2T8pdOllsqXhU2q+qy +vfp6dW/qk17rnpraVM2qqR+jkTjd9Vc9i2qaqaMDbfcuKTDCxwO4+wO3IB0Ju08ExPGi4AI7Z8f5 +Lkgxz3bmBE8oM3qHl5Obympyp32Q60UNl1IzvPgVikuou9nYgTUGC2rjVoYgUX/8P51FWGlc8+FR +zOpAdUHcPM5UupnusQttRI/TTj9hR91GOysyQ6gJYYrqjZ0wv2l23XUscQRBWCbQpK7mwCkXQCTB +BiP93iG8YvPUaNd+3gRkTFUAFz+SCFg2Ju/HyrJnHpdg9UItQoI5/rhYhuJaVbz24GvXHrtIey1w +j9/DiO4MswzYTNKJc+ZT67mITRy+b2jPxb67bKG/X7B2uf0tWrZjThKK4dFNqr+xKwbomIBw//YT +BNfUGPDrU1fklIFpsK9JrvudPRsWcTNEVvmGDKuiXzFnA7JjjmJC6QbeYEeC5tSwTSYTGZjwVpDb +Xt5FKinEV+i/62hYfo9o+wXf3J7m+ILi5hwW9dV2cDwz+yHxZGYY7stHsGN5wSxlHWvy+6J76H9K +N3cKK4VFguk6O3osv8jmuRW8RezXzpI+bWFDcMCEAeCkZJIKYJ7QXZ66TL5S/02dYzpafz1iTwXB +9Ty9bybSgWV1IAd04byV2+9DCN8N/XJ35tgg8O86Vs4kstbJOebXUMPqPSTAI4Nbauv7vTEyqB/w +w97TF0UIXcqAd2ktWuQZhMSiEo455qUjFtKTRnuQDApsE8zvHcMDf86RyvU6uMBHBDALV8nWj5m6 +TjGIbGh44HSFQUIrYGfOyskWwwYlJ4Ubq6qFQ+QeJvOs1MWVttnvFf6J2tTAWwSwnBsWKIuAsVcV +Ft1wceqyxwFuD729hkylJrW2nQQwahZobvEcxSM+2kS97194uBoAPiFeztwDkwA4R3Nd5K0fUuLC +lpqFeJ9SRnfJ2UCS6nEXFaNyJjZEgTDVoerQEJdqCxwQ6iJa5VVl37wB2aCZ4NFCYtJNBLbhe5pd +jQn7+nx+WqC929ifhwKmA1K+4CtHnUiSFM+SNkbNjpMI1P0LDyHFEJ6OcMo2jH1KiQShB29aa49b +R+vsaNtgdAbo9ugny4Vb8DLN9IHbNOIU3wlulkdfBU4piiaaZYxTneS+BrH6Levd3mjVX1hWM1CQ +xUTmy0lm3LreSO7iiGZ+/SWVfeVD8B+1mWNuCJELsvkD/ICHYskKf3ADIh8rf49/9UG1d02yUrr0 +jSAraAPrr7j8X4O44nYiqef5Bx73L/SxxRXhZ0NrT+ZttGqEaNb2MFKjlL8d/8rqqyEdFfyRitgY +Pv/0JPT5UFLnMjSXhQVrv2auXXzBLI2Lzwkk91WSyaFcLzvUeFi867TdqzdkY/DUcRk5iCjKYWdV +Kf2dabiNmw69Z2hjzuPT7bPc4km4hoREzMlD7OmKEDURUt9PydWzS1Cg00SYpM9hjVJKFwfnANQk +UtGoYbZBI6qYfo6v2/Q/7ffzZNkLQOXAQPGu7k8SRftLQ6dDV2mlM6k1Cmau65JtGE1IuswxDJU+ +McHHSbL/xGW5fvLDwD47ywFjmXFx6eAoe23DFxvX9eoxQs+grwHP2kemC7AB0EJUZFP5Llw6/THA +rHlPDnhuLe5jKOdytkasAPqS/Wm2LtiRp1IJL3J6xLelkcfcwt9Pfo9h8Y4ZWbG/PfPP4tV++Cpz +VjcUVQ2/tzmeO+c68+Ds2+w3frh/bVS5qmFcKo/VXkY7XMOmNMO8eXrjiG73JN4bXj5ZwJ5G8GsQ +lybhfomzr1lI1dySCJ6ikwpwDRil7cjnDp9FdEsv3vOlUkgrhEDVWa6nwrjzfrrNOqOY8zPuEpyd +eDTf1gel46r6X//Kf2AhCbYVjOQJ/IvyeFqWGVEcKdZgBqCcVfgvynZ9b5RpLlqFECSBSGke1Wl+ +eEjeIX/LV+Ot0HSrpaUfutFgd9piZUyszM50ZtZ7TLdl24+ZaI/0mCMTA/dhEtEyY69EMZxXfJBr +wPX23z2J4BZdI7+FWjwVAqsJ2TxiC8KvOX+FChuG7PAwUrgTV0cuqUqFHkeaas2SZPFEFTqOXJnM +aCTHrJPd61R7wOHsgPuXVjbSSPTqK20FL3ub3Q85W1t+mrDEoBih+OAqP0Aptb4JrlzsGESQ9319 ++r8ZJYwHqk/5xs+I/Yb/oTTZdtJcF7tmFQcyyW3js15KRb+n/MwdMxoPt/89UnYrwln1jQ32LAJ5 +yCnHhKpkofZaaxQEOWb9Xe7ZXnAPqA6uHPMo0eesSaGoyYV5VbLS9ZfOMA4bLA84rCYYfywX5lgd +GnmLI8xBEwjpCWD0pnlQFBuccigEFQe8X3e9Qs8YygiQDpMKUNooCCSCaTYQGmB+mDgFc6vHwn/B +sYEel1/zdR/Othnpxh8w+N1h8yp7Qc9PadQ1386pQkg4dVSZsuyon8+B654X7RgiOA6ycOzaDa1r +UGGFcEwOFDuxnqNe9S4m6UJFARksYMFgsC0LNxb4maBwXuEIrENavb5rtSc8ZB3sueRZcdZ1A3eZ +jn8os433kQbuJD0JxpW0+ZDDasqKyX7ZrT9jZzVS9YtmKiYwVE00XFdhN6qr/im5IXkY0IGDB+HM +J7UX6jUr/t5knNydq6gjkVdUGoiu+Qh9mIYPAkLT3nFBdZvIlcIg7Q/mSK8tVw2qXRlMJfpopHHG +tLohe5792dFPAvePtF6T+yqGcZah0gvdg1GAiy12pugyVG/oN43rOvYsSOcTclpGVBUQsP4jOrzm +8ajAIJLWLm2qRat57bpCZDfgTmv60gSft8kn3GLiBp4cqw4bw6LGFu+vRZSWgZYA0FcA+bC03cl0 +GLSbleEah8UpZqaizRKE4IVhYq83x5h8kLzQqVNbANnExCgunOrmqdmirhcXKbXW5JTILf1TYZ8h +nfpdIpjoMvUbgixoK/Si5ouk+FKgHE2DD6pomZxgXU1UVjVLwJI8BiAGxj4z1NH8H6j9790GQiaI +yT8TLBzH9P2GAWiTIYBbBsq6YoHuJcAIk8cQ77hBc6fFcpDxLMZQSmghoUgpaVIABZM0HX6PS7oc +pALbMOwnjeY4ZyTC9XL6+U7e+hb8ncGHb45ReEutzTUp8fulxhfgfN26iaTHLxMOH+s20iVfh+Jj +bsYYBqOFi1sNqKoq1mmfmp6OOCeOqc43IEQFP2CH+g+gLfB7aDLmRTbHbJIITfOecNne38uCrkv8 +8QRBgkwTEfHTgcnmEUWSkxYA0khLgjbwdG6eBzli+wtnTyzUpQckIkjVWv7e+GaARdSvgdhBokmT +9vj5BMLSOQQc+mPtrWER+ODyXzFDgNTtel5IStoTf4RuCaYkvZATE9SZZPWlA0G0faImyEGyyXHe +sQeSIbCps3670PXJm8npOvqHDYwAakDPpCDPR0DxunYsRBhkOLUXxudZJRIOHn1p0NTgX9zsT4fA +4ieNsX78zfrz4Fa/UEgPAKReYAzWt9orrlxjK6vNSpOBInlaE4QglcEi0ha/h3axaV7FllBvvQQ5 +Koegx5ruDbZ1h0lfg60gOFE6sLM1LwMJ3raoMvJN4OpUh4hx6cWyrhoWnJGduTCdCTCW1nWy+Sf2 +y5nV3a7a8s293SCgqw5MQWSH7K3Fbys3e8QBWTCpc9kvniPcWT+ZrfwWQBmtSbYMfpbEuZu4nven +OZeU1mqoUjPYHFxxJBtdNY/bKurBAWJWTxvI1NRIi5ybrgzNR1q0+AYOZHXwVKlBDZNasH8jcHTP +qNi9xibGu8pD33Syl2ms0U1je7rEkAnrGeu+j3t8rRWTHQyJxH6nLfZH0BPRPCUShn4iqKHBmQEt +GM6K0vv6tQJ7gVWVHIZkDwb7N+Cw6dhHfHWbD7Rxx4/FKK+TFmmDfXJxBhk66mzSve/QOUC2Mw5+ +ppbKSOXRlqKuQkKcsRdcDUyw9cBcUE++8eMEn/w3s6+Qr0VEePG3GjBFC3o1n+e/DU+d12A2ikxy +E19Npn5BirM8dO+IvrwMUb2KNtCUwh+Oj+3rV8qsgwKAdcK7bWaV7hGPklicAFBI0unia28riYfh +TRuvh/ZGkExkzNtcEYfDMmHGghHF1IQYBWoHj5r5lO78VpJ6QpogiJZDwBVA3vqm93U2v5dlp3UV +oefHlZTQxlgOdz97wWx0+p1ZTF7CGhwUFy5u3jdJLzIPHOtsAxYxXEJy5Z1wXHipnjcB3FBNgRpF +DAUaXa6QBDndR/1A/XJlp9tVhnnC25JAzueviAtkTEU1X+f417pdLRY8CIqpP1yRtMt2I5htryK3 +cbmYQ4M0NjO1FCNocc3RQMnQ771ydLHyO4C8akWtiOejstSn9K3/OhGkIpQ0R1FFhuOTJkk8Sw3P +Q40narZVKNp+zZ2OACZoOVu9W3IjT99AYejDvdwBr3DNqSfFaeZ7wrGYAVJKJbJTzurQ43/Cy+IY +OtfQZAtWQ+27WKhuztRJkzVFQQk2rfujfIeSNBI597rqx0qdnNwsCd32bVgw0l5DrxfTqyIWqWcq +cPQgTk1HICwqw0XicBasv81NEUZYee2maUo91nDAV2j+v1XBS/FInLVcons2i3/CvGTMi/7qoqXw +IuvCZ1H0jdNQIewtlVVfWWRJRoxvnCLOKabyffQl4qcnZQUeGDyyBEB4Dxs4hnY2qFfgkbHOkxqs +wMq2c63ll+x1H+tzc6yYK4DkLjTQn4jah/qdcF2MFzc6WNJE0G5kcd/3N3/qyVXr5JHear8yfBVx +onofNrEaHdA2mE8j9GdF0TLAey4LOa06JgpT6DHxVdQq0a9uxS3LJ8ZYW+kHQRIVQKUIv/jt9P6t +EiMCoLmkbJSnFIKPFoMoz5BhS2iUHfIM1kIW1cDoGhw109D6OCa6Jpjp2+KncSsl91u4LFgsDB7o +h4tIaHbBux2ZUmnHFg9N5jt3RqPVhxTifY9mO2j2tBkbtQTaiCjOP59P7qeogjx0Cts3in3JIYW8 +q1eTp4cDpvYiaqZ8xBDumBALHpEdASAnLeU4bA0HFtLhMt4gCxJroUNq0X564hcXFZftg9yWUgdB +RVeSV35Dd2Eoo8E0BjP+GnD+di5+q8x3RRm8cUvk0jD5u7aNCQZCyUddBUiTwnzY0SYvCkObu/Vm +7aqQtKGvB2KVbzGZSiBQ8lMiK7oqSwte1jqDkkPNyHw6zdv/DfoGFvbS8dujP2Pi3qFzQmsLvMPq ++gJNgjVZjh9uSppZlHHCFTB1tg6eiJWRei7Afl0Ofe40EyiT1uTenmN4o7MO/Rbd/JBQpd24Qidi +r6tNBwIRK15wybnFjJ0B+eYOcJmdmp/xgdftFKPen80nPb9sqpi3JuwNL/mOoXSNY1CIx28976lK +cuW4WpTv2xzODFi5Dw2S+lH8H0DfQb2W2/2qZXbCcnc1nzMPLaKxLdOSh3VHd43fbUhw9V8xKhW/ +UmOUGVyC+MmngJ5uWc075Uq/wh+AiAZZZiB1NdQof5nq+HQd5XMD1zhQomLmZzBndD22yWtmdbs3 +W3h37ZY7WJNZEfyxRDclVkEZgtwmuCy6FJ//rTB+Mt40hXAFqtWPMhjrne8BvtE7xOPPxv3BrWL0 +IAUbyujjbwogtwOWD4Yv6rWg2b1lUDE5epfAcXQtBuO4u4bO+sev+D+x20fG9q2DcynScWA4Fhcx +1eMnm1YeruxeYFt/P57QE/qfgz1VhFRjfdJ4BTaWm6bm/tbSZGuNvbnVBDZmzAbP0E0Nr8NipUp2 +lQk3hF+XmuGgneeO6eNjM9oTjQaEgsDnPIo1y5OVcNalgOIvKsjGv/uiXpTDk2emvD03Luub20zX +otWRLmsq1PZVpexcaPpOrByAjk2Xvkj2kE3yOgSgrDa6SHMqJ06ZlvcDFdZnvAMfIujyFDzjYtc0 +mmXi4V1yWIN8Cx5CvxPdnNppVqNKuO5tiU35+GWPTj5JusK2G3dGQmPuVpH7KbO1CQBzkVDBRsPH +P72POE5T5UYQn7wNL28lxoGkVWETCQhNOKR702PaN/vrt2k6bi5TV0YIxlXY3N0zQ6B1RGSqUy9v +6eE0k0kg2JQ9AeW5n79LpPB0DfDqHug/CRO/PRNA58eMHR8AP9166XZALKIDAXyaLTtVIjXFlQ6h +vqhgkHX/lVF2JXDaXOVztB/BYov3WhGQ5TTI1mGo3OrbWp6rpjxlbyFsAo3Yod3LKYLohi1x/Yd1 +SkGHw5jT6RAL6DrkeNN9BlDlikFke/TXGEjTvimk0Ct+vPhbiFmC4D/S9THhK40uVoKEhLyfyrJs +Vc24EyjVVkxMCRKJMArl9Q25XtKcCZYRXNex2MaRiIiR2BLMeCtNk+HIywKeHIUTeo+J7fLUi1mE +xE8WRUQt1SvQoZ3an8GpDteeMo4qWkLKziobjZyogV2tK1mMifOG9b1B6PWcvySg/PYhnDWPk2gn +3je5lD91Bvrb3ye8NiBXwKoiYK1CzCF8FKMlVTkM4K3TPS4XZdlJICSlZwZ0FZlIArXC+jvezAHH +BGX7lACft8p78Q+8XAWco8d50zNiWf4j0+90g99x106VnkC6GAnzlutS6dgkToz85t8cudRpFbis +wNE1bzbUytj6PQkKnvfO4IdvmjfLgUV3dDV8DmaBWEKgSZt1i2nmRtp673YDMs2El03LXnNj4IqR +hSVw/M+PT4hmxawdEtiVeVFDmnh/61ZA5t0Vk+prndebJahOMOO0FJdYZet/mp1k/s96iCsT/hdf +iZ6P/WeKCUR0GWKaya9mNXfPNElquFmEnPqzvN0HJsR3RuzlY1snTs09DZPlbiuamQYrst0Z7z1H +RZHkbPRXSzZCw/MU0vm41tfYn6WIBGPwH0Bo6iCWMfxTfwaPmhc8Bkgoh7mzcfdhXM1/v7O653u+ +KK4s/FEBbqMoXxnfa7xuvoWgVqt+SOYyV8V2OMJsUVQi83bG+B5n0LXLOwqtHPlznY5GcjkokPRu +HcoVJ+MKUcmgYh/J2wpiWq9hV46kL5vCZM/OA1gCHQU2+mp+p4GGcYewzP1SQDdzKkT7CI8ZfH6m +kmEelH1b23O7c2Wtl9v3HzbmKtcF1TpMJkAY6CNdZLpQjgK65lV1JgdHiCmm3qzVlBkKS7n+4jYz +jRXf78pzp9dK76gpwDHuJ757rzSb370+0lYzy8eCjB7vPeGmgg+XJIaK+Y1r1I3dzGmR1juyy/lJ +u+yJ6WlCqF9EDQYfSQoVv5yzC4qZ431/2joP9vhKsETv1mRpRgFx+FscTGPytgx29u5n60Tm1Vh8 +T+GqW0ox36ZZrj46aAjzNgMDnslPkGrpoealTBkjh4XycPAzOr02yLhFHF7o6XlEo9UkCxpllW4k +QPUQqeGVwpmyWR8gmC5ZJNQ9a6lPEHiPdPftnHbolvrDkR0l+ezERGEWtjDWCd2nr3G0SmFn6405 +IHE0+0E8JQg3reiz8BfggSw6/a47XAfhhPSCPmPhECDA+/3BXfvwnIBDUYDg3nIItt1vbJTM+xqq +esfQLkgaFDX1GNVZyGSSRjq+g1zpllt1EHPw87lNGVmnO/5pySGsqx+vS4blX/j7WDfkSzAYjz9r +8hdqeg7oCcdk30K56c85Yem7Fn8A40yrKuLraHrRcHDPqlRzPUuPapdgzqFsF+CQIHaMdWEkZqi/ +AaF882w196i+Rgee8AR0rA7fyp0TCSZWd3mvytEcQuqDCTKADsnS6shmU4yT4NED7jRemHzV+n2l +01Y24c7Adhkymg7M/4p+ZxTk9SbYB8zoWQ3ZHOk9NQbYN+Xh88WfmweZjxYe2oJ2/JzuFQePv11J ++XncNSRC7D8yyaYz/dhho2NkOqYUxICHlC+3zcfIFoi0/Mn3Dm98bDqTmBHUQSZS1ZT6ArTZisIc +Jc8AL5oZBvYPaYe2ioIIj0qsFDTjXC0km7Gee/RT5S+GMoVE9mXxuXKuv6ZfVuvXbB/v+b1Y7csM +s5vhYVn8518Z6e8UCM0F2ZHXvtAOGsXdFO/g7A4wN0tBLMMpI9wwIg9gRUV4fVD+08omUKxQD7U5 +6XFUHxp7NkdChmXn2Y3yXBjiZGuUYf1ePCivyVit88n9NLrfcQqEAy/pq4auxuODKxykQNPKPT7A +vFefRYLW6zBxCLgiZAKurDW8d/9QKnTCbo+d+bL3PShNBfAOLu6/VT9IpunoeYP8+mUSiPGfW6K9 +yGoPAf1erVFml4yI58Jb8euWXrqyw0DjIotwPOgSEAnt+KsSvcOhTkMrXq0l+b6ZwK+tcA60R8d8 +o/MzVXLE1PtMgaNr6oVwsp/GPOgtChpVeVwnAugWr03f01Q84YZs2BHzTphgYFS803XWqU5wGYTS +WfbmFvhUpzYKCgNccZmPsKW/6fUSIgvAYTpDiZjXBu/O3vkGL2QRRbtnIwFfknB+KsI41fyuLZlh +Rv+f/xyuu4dgfLL144zFKW1e2MUvsCsbMSmJhzZqCNMAXslBDgRSwD6vJ5V1fFk7xe8QOYSF2K3p +z/l4X/wcYkHiacf3flaSgRMdblV9OmpR4MpUbykw7WahSlQ4uJRzSoWUhbcsw0iDobr5G51p58gY +s7HnpVoGuS5iS2ngbSOS9Ofj9TD5MsoQ/Rt4BFB0fXiX7X5mHvXmy9gA9wme6lxGQ6mSutuU8jWv +65KuU6ofoHWcmbOftypqr1SLL0R4mU11q07miowHknx1KsND01guCNdlx7+LyYXXjrN9BWUwujsJ +OkXk/Ocjkrk4SveKRCahlhZgQZ6t3SG7pvSwJdzObdvF2kkV3W1yGz50IuiaRg75s2ITjEn6fPlJ +TUX5oON+mc0OAB7+sroQI37exZsxEoIer1N08htzT17cdCykXq1m8sjQV8j9kuarerf/Obk4svKm +Lj1wyEFPLHZtt/yI7Icye9RxrBXQ9cyVmhX7iJkJZ/wJS4e9ghUZylJWh3KxfQC3xF2xKxIXSS+a +UndocPPz+TOJJvXmzg6tVDzM4RtN7pMPEN+7BAOwZVT+yOVENCf4APGmrGlo2dEzy7sc9K1nm8jp +xYv6QIey/nr5IQTEAtjBAt4sQtLhNx7OYbq0oxn63L5/yczEqoOD0lbwC3noReA7Kt8jZwrP/g4G +sx1EYVXldXLlw5zx8e7wrWkflpFAhM536dqToYHsCXR7fS09fteqxpStc6ce/E8yC5s//xjKDXoM +eO7BVPLlefoxn9SpCty9wdDZPoe6iQV/uS3KfClOZ7P7AEDyjdgtZVP+pWcF3N13r0opxIMyemG5 +2jyfQsFnJV/mpAyGBviJdEodpuW18IBV3+P01SbJzflMKnMLgEKhCDEh8cTRf7mqMbeFknMRLfH3 +8Bayp+tTt7YmxQxSlX3A2aZsLTM42YEi5L2wqK1S7Ogy2v8uwhVGs6pBRAoAHrXoehYDmOsUwMAC +kKSkOqFKlkHUNbn7VSGgfE5X6ZKD04ueXApfycJQ6up9HfCQNrXV/aZpKg0M+PJv8YvGC2CXXNbA +/QrVYe7Pg4cuRa2zf50Uz8QvpRKsmiudxsvW5gdd//Eh+LcynQA4iYE1fYztKqnZ9L7xNZuXxEec +muPntNVjKUXedJiMYcRi2Y5BAAZnviJFyY5osA7e8bdqw0ZWu4ENn157KhKTre25bniVDZi40wpP +6lUSqz+G+9ZjdBfoA4Ljs0Bei754XQ2fDKOTdZg1BP4VUO5wajZ6bi82NY0+Yaj67jdxHGDj6lvb ++fv9dDIQDzccVeWtInxAnmudP5O0Y6rDBr60HajssjvnEnCzviXPSUrrRIalot2C0ajyCpp+m1jQ +2cJkqqtqAzOviCOjCZp2EcH1JOKETEijcsQAyrk97yRKqoVunHwT8GeWIIZNcT53pMbWiPnsohT4 +xY5jkQxIyjRgWtT6Zz6/B4QA6JmMNWdjChMZ0w9YgVi9BY+eFUWcrKZR5xtGbbO3rbid/cjY2IzA +D7KaNEjq25wpkBBJUNFFZ8uTSzob+hyjtBJ3ankCNBwafut87+fs5qlMNfZvu8b4x0S3g5dpEafy +dtTZKZEGsEHkPW2p6tp+Oxap2irNi+gm6nB5lXBpZznpOZsGnVKvrMybfVikoTJdIzBa2FrGZqEK +LdPX52bk8pQ1HzFHEBaLiT2p5Y8uxPH/0sVrHjVYO/6PfZb/KKq/cMsR8NfvpDQqf7Jirc+f6uJG +XPD48yMM0UuWDjjUAdoAG3FlODVz6xNk+uMa4Wt/qM/jb73jn/ppbeNO4IIbfXnB6Fln6UsloOa7 +fLy3otfi8jwCcg3rT55cT0BfVHUHL0zHu5H42j3KEo13X2zobywkttBsQwOgX52Hrpljrb+EiJ7D +mTSr9W0Ku3SnN7uwlfPa9PG9GoChW3vNnILaSemHc4FR5UXNJsnqfnALMO2Db08DqBTyixkfiuf9 +tAokZLOLs6SzPe9+nn/+z5gH58AIb9SjbOPy8S501LD1XktvB8hBia6Dl7fDEh00dfLeNX0LWl+K +52/ggxMYFcW/+dFZU7E8/eIgsjKcJffWLGu1ZzztB9fJiY8tsFVj647Tje4cfL/kfpp+KJBa+r+N +gYYlZ39h67a8oLh0B8cvGgmLPWz8xIZ4PyS2kh8T/LnCOkGZUx3zYNPksYoPNkUZ6pYsOrb3yPK6 ++Py5q5GEAmd3RsYxV64fve+c5jT814oWuCyHflk+KwyK6zLeGAWFx+v4dAYQiMf8Xp7KDsGekgFZ +cl6TTrEJxsf/kdpK/ftLDgzT8lClp1q/YXV8HoHjyMLYAlZtUDrfCtC+9c5NmIAJxbcDGpmd1xii +wW5HHl9FJbuQmvdGW7rnwDqNPOIZYL/rLODk4FX3E2/hzbAxidEncPPD8n6Uz+7sE5yE6kmB/Ur8 +VbzcBXVWSrFnAZeFK6sAIOOoVGMFY2Z5By4ibmRMLi498eiIYXhC0JHt8KIbiicOqHDNKiCDN9Zo +fPbj1UXBP862vVSm2RbP1rFK9DNSMQ80S0au8n6+pVBXaQqlKg1hGLWJ7aFOE98v90RsG15IeUoE +gzTUR3Up04wbUKyAIZI9rg7P65SwrzHnacH0NOfS349+BExvjnkgdcTO1Q8f2SYcjrOXQHRwyNL4 +ex1T0pGli7JAHUJAuUc1JNzgr0KeuB2gwjQR7cJRnrgm6EpzLJZrmnrazy/Ux1MecV4pRdguETmq +fzv4mPT1qqaDI/GyTM2u9fGC8C4rId0Jeqw/pjD1xJm+GA2FB0tI9dZmA/Z+xkXw+leVTNasoZ9e +XdmbeHOs6x+XTEkIBdPGeVuwcxw6IbDzjnasZj/eI40injcGFyEBTRa2fHsZICLdQ79lUaOImR+r +z7zUgG60WfnS55RLsnWYN+mFGI9f8kJzpM/2ZWCGxs4jTB1vwwEUqAYQDiuGH5QBciT14I8Uc28l +023QycubYHu51mMhyv9goeg5vu9AbmyIhn+ibI3AJR3xVj1DZQMLQkauJ6qN+if+tsPj7lvpjsvC +oAU0sxrCd4x5N4ThILL3Jwpr/9zjLsZrb5JyzNgurQz76x0PpMaQDuDI5cj0J0OySEHkgGN/VT7f +bJILjyHbCdXlSVTLnt4m4IQgJXFe3NenTCL0db1qhiYZoa1gMH+owUD9SxKuaQAGsc9ZF8cUvJmN +cRl1DoCzXH9JLhSWy78GlN7uJvM5gBaQW8uEeeRTQJoTmwwJFQyBzck2XuwqIkvJ3kBBGhQehETj +Cfj9/4qXIwnnW1OgZML2t+4W0L0f+9QGHGjoOobj29p2fcafnsPBcYWPK2pWJ2YW5K+CsCuRqI+T +rTikFLlrsHxdYPNRo2mZMMEU+qm/NUJCLBS6ckoPRAGBuzjyBiOyAp88dwv4t12gL5BFJl0TsUYc +H1l7P/d7nQYt8OGhVs6Ga2p/miOyhi3Qc7FBar0St2Pv4BDsyX4RpE/wMCkeXn2v+ZtEWJe7wtql +ryEhdMZrf2/vtAGH7LgKLi6QI+/ajnJUUhb84VEQF/kNT68tPWsvmJthdR7ezekt7B2nKH2gq1hS +BjsC5a7UVM5tY5+pdX9ESfS9rtkRy+A277CJK7iG8htgrjyQVLYFtXezK625lNs9bKg5pko6amKm +QrQAHM37xIV3RV16buIET1lMeKccaPvlXhanrrACdQIpHiNErq1NkGmD1GmxXMX3aQ3SpLyN5b7l +WQ3GkSEV5Dxd0FH+sTiaw9GyQm79nIVCN5aVipD5aHyd0HEXvGODs6VXwW1RDliPviuSjy4QNibf +W6sNOOKCucIAtgQv6jiZDI0MQLQxwS4YmcuB7WxfIYWRZcZq660zuBYG45gkDRH66Z4QrUF6Vz1k +l2yxJMBMq8dOAhQMb7C4X0zFYhVHLl8ZMxSjIMxj2T5jgsUMZ2ZTpH0h25OyNJb/s7cFmouDv5fn +FK556UqbJbu5Ssks8ENV4XeSwDMTPZJBK0jVwMZwK0MltmrBfXUbuSNg+Zp/kxhv6U5na+xjshgB +WqZ1eQW8ThFvUh3w8IjTvMTZ1DBxttM31tu8cBNYDDhSwJbthVyMVAvnXylhiOTdAznPKiuVHFgZ +cV82hxUl520wCPj/Zl2rMoN0kHfsHmc/asO22yeLDmPF/n2QP/D+A64+RF3mCJe3R8el3cgmojX2 +1WBOPNsoHE35S1j9oYU0EAJZL2oiahhV/TGtyiakOB67X1FHalyAiQs2I4XJI803Wl7KT9+/IUpL +kiPLn3F/6nv0+sDNpaYSXAkIrH+Q5s2tr41/EpjpoaanCzG0nFrzKoBn5aPdPuVL8S+0YOyXsa+O +llDcQPSYX2yzKK/9yTjD2PmV0+1G67J7+nniT1jtIgRCUvci2vKxwl/osko3C2MEI8wpO4Xqa4Pl +h8cILxmCIShwBpXS5UpxNjpiSPIdfqK1qQTBr63Zi+h2g7NBy2sJ/Awn9RtpFh8EjyGR/IEQPQU/ +1ompGA7I82x6z4+z8HDfpkafbL3YHWHmPnPmKK9SGaSOeyX7PADYlfDMCGkNlmHyDPOeC9P/0d/P +xzus9jg6OZXeAOAM4uWYuqkbpfHGdKYHFbDUAPTOdL9U1ZnB488/1cqcyb50vvAfKp7Byuw2LgEw +Bupap5QCaG5eIfXgc83cxHt7vle5Y4nasH+hUzXsvnAhuVfR/HZ1ADrkwsu9uzZBXdz11gZV6etN +zM8m0o0c1/VGOIb6UZfttVKRtONF/u8On/q9Zdfa3l0JUGHoOTjS47+/cQtQYM94H0kl2JwINyLd +QDTR5dYO23kDQaJV9cHMaqGGwZZmkHdqbbDV3u8kVNqZdY1bszwkpAH3v/s+WueIyr+Okxd13TWI +7CGimhVqSzs6sHL7QvQIrKt8gytaLi7qqflTDv3TDIhJqAEPJSlWKrxDGIt8q0RuaNf9oytBhqLf +7pP0S+S+xVNvr7hwJCBzwhwKg98IseQ956Nvy/XqzjlzbhOwAePa59fWLplJsXh/RPaX4kgU0/xi +JYU/x2JmVR/J9EIaBam01jHyV2GB15kgaXZFvURbqnQvgudhRxAmUDDK2xxbsCVeYsV7M6c1v/wn +NJtBa4CaIswm0NaE5/9dT2YJRfjGFHd4+rLMBmiAwaScYl4faL6eoA26TLC4q/jpOV/kLPrTsbyr +wA8ZFXBMq6UUJ2vFq5yOfq0D7vDb4m2Mk7bWnbSoVclW4t4JsVWuPSakgFzg++lQVjftPor1i7a7 +1LF3aU67TBQkeU0hqXnKXzS3w8iZ+CDmv3yZyy2InGN6RN3XqzfRXSLfDhh9Z+iQTFaOdLJfSny4 +i7iiHl2sIb/bXAICcnPm4posKz822W1PxpvgPemu3CaBtUTQ1QqyHoVY0JPCEaRAPf/gmjO2wpB9 +9uyknyrX19rUPc+KX5VNwLAZliDCizSqQP28FzHf1Sh5DPktqCcKwZN6QklcNpFmT0LLwP9PJ1LW +DNu6l/F/3/9BSjerjc3Ay1xPdk8RI5JEpYPy5K/mzwAumeFuLT5ERX7pndiefrL1YZp+HSi6ZQbG +3sifqu94wc9r+40jc2ira9uxM2wtimUsNy8mZn1sx6357Uyii242QIrvS9eeIHhzkccXINvVUhAY +IgK8II0fnVE64KZyTSHeswxAN4O5IFTSKpbo6vv4aTKcm1kgtdals65HadqLszZjhxMhxWNCo02p +/B9Rh9/sVcIU9M+6IR6NM12MlKyzbuMdV+xievpFcAy0CFlM8z/U4J9z2oo3AFMLZy/kZWDyLxX7 +8ErTX2PBbgqb+iOWiUrx3nJZM/2in/mPLRrEJ+r9vHI7YeNz9Ta4txAav8c3pVUwwgkEPvx/rA0G +D4DzYtBjuZAmk6ViXy25nZGOn9Jlzd1lGpR7Wt4691xuivzSLWyChYa9x7c4CgMoY5PLqj3TVrlp +rNHnd8OFCqvzPBo0wEVZM0BAV7k24tOH4qtvYAIzRQ4Iz7YV85EmCGexVKbJKGv2AtJXEYNM4b2r +jmdF71aj7SiollHflWR8cCxX2GB5sqW1dNP5JkpiICHkLMu0H/Y0zz0+7s/M5oKu7wznEjopdDmk +iyoLUTv129sFnACraVO6tIIbe+eOfZ/kaM2z0r+zhHAcZ9SprV4Y4vvK4b6qkHUIPagMiSzIg81U +8wgsx76/iwbBrht1adPkjyCPQKGxntXOg19aLHg+rH3K9adD31HdvGm0hy4vOpInySxNkBfO5lnJ +sn0vlCqG/fMTS5rvmHH4PhKQoupVnktxg2kh8NXScNTZw/OaIsap/0NUB1hxXkIXt6o1NvBUZyIF +Ss0keycv7uS8IpOQ3EnsRxW2n5/8ctl22Xu2HD+Ddrfee0EVO2lMhmLhYVkRY129uGP+b3qyNL2U +YZWziTLiH+yZCqSrhPv0WIK0Ys77CDdanXhLzfLE+xzoaGbUnguvHFBVPozkr/Ab4oSF7h2+5GmO +SGNKSri3t5HMjWZt8IWPibOVrgrCTTriQjpJ1gJHPY4K2J81opdgtLx6k3ZjC46q1ILaSkM1vvoC +LvZTZORY2L7EbkUdYOk2YpUpHjXyXMvkAGzJX4n4VnMdYp4Wp1JBMMNNf4ZzZivDzJV/GV/4qQRR +Y+co5sVIWqRRul0I6EDTejBtlEvu2yN22+O0e5NT3W+nLjs0rh615wy2ixwgpe1zioi/qQQ7VFVH +wThMZVMT+5alYC3Gb2UM3gb+1cOVZsjqxXs1/DRUz/PeZ2SUwfV4AZYCW1LKWX1UmjV5YLWue+zE +5LCeLuGjNgNcDQ3KwnLjnOEPlWipUI/Ui9AFon22uGx0JiRTp/vtisSULqaQg47IDzKtLmw2V8bo +s0NQ/cK6MWA/s9gX41z87e3TZEe6IIOmPDtqZWv9yOYglVIk+ez6K6p+LExvt6gURYcc2kZrLmNc +Ij1He6A95AFotPQaHjVzSr4ZAzBWvlbuNLU+yZikL1NvfDV2mEQVaOzliwAB7VGxR3JtBfme8xyf +C/AcnoM1bZPG3OGPFc9JcVQb7t2PX9uxA/n8IvuscZpu2mobyht6jR3SDrXc7Mc0bJOKqqeMgjHT +MRZey27q/ICuH/VkNFZPLAY6BS01eJdzyFe8u2FrOZ3YkO0py3IUj/MrmAqRhfqG4iUl9SPi0Q+q +dZECWdalGUwPa5V4Bdeo7W6cwK+drjDkjTqUEdX1YNMECiC65C/eFipL22lpVvkculF3gpJk5LO3 +Pu1jIbd8dK4zIfuqPvLIe9mjTVbHpzP5r/qmaKRt+FY1+4oQP94W5kg9ANxDIuFgHrn0ahVqrC+x +fJV+FXzvsrorPWflFAKrCSCY2P49bV/FbgdlQbY6uHe4k4tiUagLsvPtItLt++06pkbTWDkpKXLa +m0+qQB+66OLfFRkp0EBjmdjbEte5xNuNqPEOBvFKz9S2ZF6Rl/IsQHYbqAtuwCMBYYKoV23sPiKV +zb4GHOsOc+qmeNgX4chVzTmeq+xW5xF2bBIC5icf+6w6hVSXAJB79NrjSjp27UDyGOr1eubp+U9N +ZOG7YXDU1EbWy5+xQS9VPOgpr2gbtQn/qdZ1TF3ckiZ75r5rF8k46SvP6EUUogqa5gqtmQYu1EK6 +HeDsfiDprUPaPHDhtodl55DTApCSI9+NcDoE6UdRWXkJ5u9nfLvPwaZs6azFbilAzvur5+ub6F40 +6kEyz9xZ/GC+EZsR0g+2ehlfRn5frwfDu5otgYk6a6RKfjUdk4NZlP4Xe3/bJoq8yq/Q38NfAQmc +lEDjhE6UxY27bGwn6dx5YP5vmsUHJ32TrbO+vaZL+on6Hppv5feSjwVV3FmIHyOllVvapyKZhUag +cdHbPxFtP9rbbr5VJ+WYfp0VDytd11o4FFznMNkP/YvM8y4bq6X3sxKb2P1Nh6gcahM+aFLd7Rt/ +m/k7xjVCgHkdv3r73PSwo+s/31gz0JjInojfZg3JavhqjeVWOgbKkVjYmPpCmT/07g8Rmw1vYYk3 +HKUjq/vXWRbIkaPy8UMELa2aV3EqYiErQtbNwVNgtSYFebsCSUeyFsdJv/HLQzc40DJWr+4KHBZV +UkFa0cwWzYFCL+UIOQEeLQ5hjQiS1X+OHxKMcyjQW0jRCo71Wq2sPyjbfP+QmT+k/mNJuwwg9xyK +++o0jOLIp69goLcAeqtvXE3NgoMyG/WxMJdh5iQ3YHwiII0nOaQdu/WJNGZE0VRHXZY7JeKqWcUi +rpjPIUrcqH9bDyUmMisGpl2P2CnpK85FmarLpWdUrdvf1851CiVpkYZfd19iQ+I9osAiRDCXGMvv +9Rg4BGwNBlNn3wFLTUf/6JvS2xxwK8lxpQY11mTgrQug2dkxucRR+adzzSYe25PZvOcbmElJh7DU +27plDlOUtdpdfuEP0TGUFQqfu6UDWkmHmlpqqiQ9rHd1PggJEhdla/27OL0OoSz+rcdC0pG/q4pj +oN6rB1bNDF/xGd2ELTEkAe16ozHldK+gBOnJnI/7tvoOn/DhwFY/LQphgAKFhvARL6USy+fG3Npo +Wc6QHQKxL3kjMc/JPM3tmhdm9dV4uvOgkYMzMOzNZ/qV/RD7/UudQ0rx9QvzbHJ6WknYO6fkggP/ +ymKGRn/1rD5K622AtXiKMFQQXzZRCV5yJbOht+nk5nAaFhN3h6uYeFbW4B42PFKz6S+RTdymnuPY +ml+Ej39S4DxtFf3NtuZSCVhLBmBCTLVzK1a4aK/+aJ20klyYuYYIl6VuI54ztUcG7YFgeN+2+KqA +1j2VooXu+olwYXAOs434xuUiSS6n77VVoIJRDV7IvM5ipHGGdZIO/kNqEcuZa32ZPwO8DezZE9Ir +xcMb0tJ6VLpgZV0ouTE+wn1EpTPrNfq4MHe1mZN4oouQWsyml43g7Wb0ydpNAepEa3dpzod1+aty ++NmhbKBx/ZvO1sFygoTwN7srtIlqYOvhFDk74WBfxWoeHN0NCsxg/TIfBl1Juc66kYmhLlCEjGWh +5PKIEGTVWyPhsz51+ME3lCAMUc4SPq2zUE70qbT4MnCoaWm0wbTW4QdmUCfCpXlhkUWLOLvae/CO +zLcfikfl22Waa6EWGAoIM++5XFJwTprFGBUJwKhndd+2WMxcU4h88AVgDE5/NwTr7zWj3SMxLLIQ +9v110vRfHUrgyG42yVBD33uaE8RntBgluIiuuszI2vFWuhdk4M7r/Fj09i9Bg/sLpt+AWZ8wwF0F +kZaOUkb9doMUljQ4rt7OAad6rFEOw0tjPOBjhDg+QJEOsQK7fBeigE5xvMSOfLIKQ4tgpHcL+Pki +AbS598Iifxy+vGSYY8RHLNVSJet4WEY31frdp7yf8wB2k47rhq+FPw6sYUzpV9IKsoE/zxs0RdNt +aguj2ugXsIR2hvuw5CQlPXgpQk913K62BGBfeYmoUhZHYptgLZjVO2npmHa3B9uwpGqAljQWR/4a +yn86N3wVVJUXVN9ey6vRrdK1tZxE6oQ9dedvhwO+Dy8myRD6A9Yu9V6DKYOeB/b+Y3cyp2JGJnnX +q5co5HY6EthD5LQ4GVcemWcZzO+UA7HI3+8PW79ZiCm39J4EoqMub/qHtJcCcqyuF6j8zOvdEae5 +J+oTpckwzNWlpFfXsVuOFSSipE1amGiGmMgm0TsHeRzVej8ws10aNPhx5u4tdxi5EA5fdJ4KQ0te +oBZHVRC4OEC4Ir7EG/tGhmhxHSRQ/b5aqvCDVxLWgwgHiMlv07Kc5CqK6OF6BMmtveosVjd5u+Wb +dagj8Jbzt33ayjB7yZsRPqUFRCwGrm/n9UvOZ2JbSOZxHrPVKUCZejpIyEvE1O9jdDvgWMwj1mq7 +QS1Wg/WLUvPmLgTNfagxnFVFpPCQaJnq2IUVctXVU4tInTX8JOU7wkkMxQoB64pmbt1VxMoBh3bb +yg5zXd8PD8eg2iMAQmUKidiq96TnNujPmslIOuEpNdPnt9GOvt434L668ejZVQCndj7/qo27HHKv +7HpnO63nsa2VZouLSQewzjGu0/FTu5z7+YgWv2xjiLCy6g1echUR4gjQDAxEQ+MIRR+CFwbRJK/V +0CxSv2nfu4322WrqN15Hut/I7eEwn0gz1eezWp4w7R9+/bdGNIfm5ExNvPdiYyCkS5QmTOxoIsez +JRqkzUj4rNAnZTgbfgkqeW/DJa3NFYc4ZV5Z0UFxWrAByYTZJqL5K4lExbwmjZns17JA7l37UkJO +D1HKezC1PiUbeSDfQWuptUGjOpzQ1LR3CW9DwY3FqbDzIadhA/TYL+raSqPyFNeBr8lRiM+Q5GzR +VgGC6dojV75rMNJNeJqfAygXJ4+MtobBezrnanqJx6/Uf9PTpb0zZSkMseNI8Dh0lfhvu2A4Yhim +sKkoIS/+/iIwVdX/Y7hVed+DtgRBOZlVck8DIVcnExogGnpB5jBSDx45//MQMpTAOMVtaYXO8iDp +XFZFbm6xjj1r7LDz7oqV1o1L9bFQWNdmkS6Ti8N5OpQjhSn1MZvJT4Wm7Dt982vQt9HaHJ12JGES +xY9rbtZTAP9+RGhnhV4XL225n+tVnjFJiEuogrZnXR7v52bkZag1LYxuxdOa999sOD/X9EAh2Mlz +orcetstUBqt+hRClqz9iVYl3vNhB3zdJCeSyHuiVHdalTASOqfCn+oS0cKMSadEiewKoIDxeTvks +UQWyyfDY+1oq2us3gNSswVgX3YU1Hy2T1Kp9k1YQAPuIN5ZyXof2qvT+RdOqRvX/m4wcne2gB2KP +ThskPYvmRVegVH435FXfkNTF/pmjSKfRGKHgHLCYKRv8peOil6gMPliR6munhnEuMy6RPgnKoGCk +13Xgj/45VIOpLwyxx5BdGnLwoIV96dJQiGBnXLcQk4da2lDtmST1J6hjzRcq8WhAD13cPXH43FEx +TIuACXP4B+Go+kWUVp7GDpRwyT9acD5mkemcAAYE0Al3N26joBJwcCqjgi3774s3Q8nbotQXUXic +q064i4Y9T01VW8WcDCyP0YUVcq0MhssO0VV0DYSqpMTKBf3AXcVfCWF3aAL8PHI3oND56628YpOc +dmJzwy7wFFshhAngWilvukwa5xV779xX/45crsK+L9wfoBpX5eUaruF3vpZWcnuxcL/WBUONIde0 +kMoYWrl7w/KJLz7ZgjW7Sd5iHC/x23IQ7OmNoS7JAHVtdoi1GrNN3+KdPJbg4W/uyIJbuRnhkODs +dCEm+CDdmbzB4m6+Uzv4l9qhPmTdheo/WE420V6/z0/TtLbEq+5+JZDgaVo8X7ereArCxzA5IjMg +h0sKEFmCpBWxPgeKdX5upbzsigwUy9ukz2jg5BiOBpUWa4St2OnYcNNn6yJd3cFBMhl9hwS4kwQx +MiKUZ2LJXsODMwL4lKrkAN5mcLu7VU/nBYdRvRpFlbME1eZo0LmwZ7oTXroIt1BTtI8CDt1mwLn/ +30dN0hYgwX7KBn5XWsfq+ZE0hnZkrWEWCYWGZ1BMEENcg9DjSeMoTt5ootCCiWiwOEv+gPlivAtL +2YiNKcwQXb8VSBSSSkdTAmX5V5JtNT4l0QDLwcPL97nIroW0JwZjWhRh6YF8d4oYqsF8cg9OB6N2 +zuWBZKHBHZjAyvTP7KF0qmyMiFgmghiP5vwgBLNX5ty3YanVvb5V2osoDsRLUwue6KgBCQiOMOMH +xW/SzbW3FpJ3yRsVHvW3hqIu+XB0qfRIkXJImatVos0QsbuP0MdX45IUKOUN108HWXU8yhMnSnYz +ogQJ1Wr+pVAH8CUD815PH0HiDDxGcwVuihHfTz0c9VqEf/a7RObkvf5yMujrkFmfKe0SY+t6tsNX +SMGSLCPzdWNCA595+o2PByrtOsm+TCWEcS2qXZ1G0kkxvJbXQcc7b9MoOlcTy0FJa85TJ/+HO3ZI +si/jPdKuXcIwyxhoR+EmxU5w2kjndzwn15bSzXLxC/8E1iU6grNaZn71mvBqnn0WgFmU0UxqWOi9 +5qWWkvmTd8tD5+5MySvE9v8V+i6YPa3uuG5b0yIKLHhXT/XtsWOXt/vEuKEV67qDbly4EymYB3sl +ynlKJZ6FeRVYsfHCnDbkIix/rkzIcL2933IAEy0eMfLlW8fPkUWZuH85uWvpHwy5gTVkusGlyRa2 +0PPFs5OsIgjXr9aFW0h3wZYSvzz18OQ2XitNw5wXkz9KTQPT8bcvw2G5iXaDAjcURX3UlcB2FEOo +N4qtFIBXz6wpxLtEqzyFcgrGmI1kpOuqRvHxANrHTqC6ekeq9JTZM1+BZDlYr7TDv/R+pF9bwSNL +o8pDcXU6DS9FhDUrBknp5RilMXtmPXEhVOqZ1o+nbQ3p/VEDCsvuoGHybnXXv6Rl04u6xciBNxG9 +Bwki7x6OzOMJH5+70WfcMUfTgcsmvkyonR8rGAPP0mq7e53B8njxiIo0AM8GGGm48u6hgO9xP7hz +ahePGNti0+jLRrG4RKQl4hBbe+x1Jn+K5fEvprNI75oSwUfWV2eiIJpV+2aTMBdFIM6fUu+oRHzm +f6aEEeozygyMZwuDkfXskqiDO7d/uaqQw1WTtMWQtLq2TFZCgrrQEKqRUyWyf29ceCERXszLSJ8o +FUWVlg9y+cTITtnwKzjG6xsqDyhgHZP7JoL4wgli7WvpJQDKrSQ0h2ksmymPpdjYLp2uRPHzEm4W +BsD/J5fauKMQMJzYj0/QccafY7qoY/lkgQgpWyR9OJSx13PJ+p65wQeyjeRWz0DKPBFsZ9wvWS+h +Z1X3mJKpz1TuHZrdyA/EtO4alrRex8D7+nz4DHaDTYPXFOyY7ApV0bM1QDajid0NfxYA6HgaCSXX +vliA6dNbjaf+DCcrhWw9iBnEcebzaLtE6vI38k+ckLYeaI3MUVkUqdS6hiEMR5a/z83nDU2RewUB +eHdEEF/VfB/WP2iOnLiMAyPcFIMp1QxirNFAAD01t6OfyJZ2I2QGRLkyh1dxB2J+GO6ZvV80fD14 +Q4EocpQ/3nGqyb8WChohgYYVVbJnoKq4s21tKnzwqVEia4DiGUqfl1mdz4nT615mktE1P0KHEi1w ++Sgoal1ocNeH7vTlWWC5ddPUw1rA1cnmTfhSR1IQ01ByiHg8SG4Icp9CGOZkpz8NaTYmSU3Lrobj +F5GMu1JOfeR467qUTPvFGHNl6ndjyv5pjMbOkRuofoAG8XUSO+Lbcx3viQqu+E6TDv67Vct/OF4+ +aLf7S7nUN18sdLRkfq7yHdhA5Dl9I70sALROaov8QODQzeCpFlXLQ1zIEvLn27qGnlugqfWOcbD4 +Iacg114nqDoVIDd2ReejhQqda3JTNSLG0RrxEAYK7YGeG1wEX8eCGzFgNzTxA3Il/U0zQdk4pHe9 +E3si7gkxqmlRoasijGWVykL9SAqd4iq1CoZpa4Hbsdj++u6ipYcS/9sn6PeUBSco5tKGZj5NF0UD +jAIoLgIgC86jDR3W5gUDTZqnJIcEuiAO8+6UTOApYeKRRJEc0rIJI1aCmUgkN81WrkHA4K0boJ+T +1zNY83ce2WvhLb6f63VI54EGTAyIkct3nBwFGZxE6HRqDoMScyl6j0XhhGzaRjQgx0HMJy9uUDcg +gBRTDogGlfENNTOjbcmOba9+DtIdKU3ugzBcFYDlaTT2dFh29rNeY06eyUiaYbFJTdwxoncipW7h +rw4i+ercG5ICAqOlPqCRwIVNAMlMFoo2098uNeTBhOT6MKZZdXEyXec/NMcxK3Bp1L9HsLs1NdAs +uEfE9NYd0lwht+Ux+yUe73ixz8ggy8UgeKe7OvFb3hCEGtSkvtCqLh3QJVsmAuF/PwTMr/tISUEY +OHw77AsIqKgPZfrGLkxhKaxHC+Q+gP6h4Yawrm4JTHkdkvo/rzacXeZMeKNC6fx95nLwLS0iieDw +uhF4y6Tjc6XqC8jdwfEYoXpw8nsV2g+w9+Oue0XeowPSZVLtyS6LkCNrRAmktNTNY3YRlqImclA6 +684nHEEWUHAe742Llt2WRW/2F+TzY/NjRF9zjFjig9gtx1pF56BHEMTWxnReiP+AuQVYcnompeHp +XC2lMgB7cz3bQ9d1tyYTcWYPVEVJbN6w8G/ubSnQcnXsVeIs2lmRA+/S7dLSOZPCl/IO1L2NEbjb +w3lA6bXosTF8WDgjALsGBTFbasHRIvp8ZGdBzk0cv3yGhCprmRih0XXeSMEKnQHyxSjwrGEzwPKD +TUoS95za/2uBkLUIzytkoisAwFdhEfmSnMaD5ik3orZXRXTGx2FS9oOVa2t+tExyT6effIIkTbu7 +WS91+tXzuax2cIukqC8X4Qq4Luw4n4Wd5tvq1RUsbWzl8x7LMG0CW1D7q+SRi0ht3T5RnhBjv13B +usJ5Nre/4ug2m1osnLx7b7JjlWPoLKV0bvUU92R3vgVO+uoV+9Mc7cE2sNWBHTAXIFiINUOh4X1g +lTyedVxRAhXuqflPSMo0+80oc8IVfObpXQdXvc/NEYrKIyGj0H4NEM4LEHrD8fRYcYJPdIkZV5g9 +27aVaV/dmd+Sl7PQZ5/8HLeUaj3Sw5mo0Ez+d3YP9+jbCou90TvtlbxrA+V0Jceq9vFH7QHh1fcP +2biL22AUcsrCyDY3JKOq9wIkM1x9BVQmUogcPBHzSSpdBjfQZUvmOU+koesQugOkTS1qMDIldUON +oLRWSXK8pGXtDFlK7Rr2dtRz4CQW/uNKkmBBlf3JXDkraI7nCwDKALLlzZhb6WrgHqq7g2bWUDlg +rYsc/rGrdFgwzweE6FusFKQxgpDOu/hPiWIw0pVV6NU52RbO9rEienSTGt+yJVeWdgiSSlBJQRXz +cX26YDEmiFIfh/7XHsgUmpmawS/mK8D+FMPaQYSf6/zZ+MddKtiONcTFFJe3WMzujBNaN8a1zV+x +i3HXhwmXQ5wGgriXV6PsDj/TsJxYB7nouoMrGqiT6lsBEd7nuLHny6AiaOCmew8Ar3z6N0VD+1bJ +NU2D3MRxhQ39DBeE9dRai1l7hCeS5CzzDfAWvLSjjXgBXduhVqmd2piGEIboQgJ27GrPqUvbuRBi +dK5zSJNQtDg4BedmRqDaFA7JOGcqPjpJr0D9U9TJYoYuJnkUM4wUzE8AiS1/Tuw354hVUG+HlU6I +Mrap1QMYWWv4YrGAdSovd7WHrHGj15oPHl+qv17/qFP5+R3oy+I95fh8WE4Qyp+0z3GiBFx/kVZK +a8l5kDpCup2dV2v7qVkEzb75LS1v0ARJaZMmgKbPfDY9Yu2YY2vqiZatcx96XSvKuMZE7Xrpx0zj +UQ609lAFYkDx6ARszf+blObCni3cJPrYcHDnkksKpxAmk2twHH7JmRwXOakVoZFLKY+6umXXqrNy +ShUn26JgEKZjA3EiKMU5sYnGIrNhfj5jF/tZ3uGGdxvxJa6kTV77wOIGs3h7xUMQCa4ig2eMvjIO +9gUzX3Bbm1njmpJrbRe8eqVheXubuX6Bbhy8QnWCixteGbFn51ZTl2MK0ePY7NnlgiYmXI2fkgab +Y+EAO0kcJY6U7hccuprm4J8DODV681YQA7nM5yWbJXzivo75UX2M5Gk9LS83Cvr9KlSgMCpUST9V +IuVAL08M35o2oAc52fjpVEUyGx2HDILETp0X5Z9KvqAeP0GeMWZbQFfDze5QlClAuEydb8Ts9a4K +M9fq2GYYs2y3F+Ivnyzh7MJy5ziXBqseHEnpuR/00YdvsGjxot1uURb1dt2k4oIYUROUzmHYna2S +pQa6ZpfFUskCCZz8AnblUnZTTSoKQWO/7nRs3t4gM5GDCC3pI08m2VFmCKi8P0W+JcU+ovm/xN02 +HmWheDglooZRZRkv1GLx0Vzv2HC2RaYFsBijK+GMOy0RhN0v/vjvgJtD5hrFObdsjdmAC5HUw4Pk +etG1J1HihIbmhmeLxBj+NuiW3Q3BFYNufib388LuSm2PdkCZOoDYyWeJ0HNsSiR6UwYBjlbuMzrO +0GHsEDjbKTYQ+hFe7kvf1w8NUTxDrD0p41vb7QnbwCzUfXRW5QRVV4S6Exq3OK7rghZtE4twxIip +RipabgasosqvRBM6NPfgPbASqXlZyBaXGDldtbgUShP6zuOgUrsOWyvU+dNoGPMEvNttcF861g+y +V7/wtwG2TFYsUma6WYbNfL+MrJv24BF6EpJcbSaWUQjDLtN+blTNv6fqxJj9u1bb69aF7V8kpoq7 +MojoGbOvms/KA5LLOFTGzriYD8Pl9pGEjGLzRLzc/QeUGGXgkRFpGRMzZYcuWrGkHR2ajQZabpQq +iIyi+uWh1FvT17B8NRQy5sC3/djBcUXVF87rJ6jfGvI+8T2o0Q7X1znVnYqO+lHTBXQxOcfIDRct +KsEfz0ewrDfmAxSsrl4aztx1srk+hDtbFXHRqHUgzPSZqdGlTOiZC+7lF94hYQ8cCoZNVhf2mkLP +d2btYiuCp0go3xoJzhzP0g1yCbAZgfNA82f7TUelCQW3S5+iLMnqLllHcQzs92pg2bIh1ZM66nxK +7d/Li3fCL3CA38ZKY0+7/5t+jxSkQD6Bgguw/AZci15K+Stb3LDkLgF51+LKViaOvw5shUqYP2f1 +zmtMlEPbFzUw1ni3z+zmBRodwCZD/jRzfIwjRmj7oMdvjedkvm8GrpS2ACuOob6rN/wSCXkDBfLi +r7tZvE30jtUcbPcp3D+d4KRvxybF6IuIU4MMjqOuVzPXqxU3yWrmo+n3/rcrbWtdB/uV5yt9ta37 +QhBkbAFNrYqZm+WzRruuvVgpeft2GClNEQZ1L6B4nxuGeCfFLFRavM8AKoRa8BroZygviU+OVfqR +DpDIAAYxoxmS0vKH1A6oPDBmtXSHpFe6uLH4h34ONX/dM42rSfqYvXICd82X+zQKC8Td2f8yunqa +pocYKXZ7AbtjUiOF8PVUCnzE07MzMHzDbU8zxDQwPZAvpWqfTON+2qzrgsUQZtRMIEd3sOAiQtMy +xYLtDsXQHXYY9VhUOlWPU3AIaFzGS/UWaNFn6G3JsgDVbmrFAvfs6lmAn5b7sNn5XJGeztkL0zyR +b9JsXyWI2Abxv61/ucgB9G+B5NWAxTnf/Qy5vhjWR+GuQeeK+2W6pwugCeGdh9COLpELNZRsy9SB +88W/zZrDPH3IJIdIOe014wVi4CQ9LE5BiIr1fnS20iYDd9hAmAVjs6UUEv59McUGUXmTZcbPyRrh +5/nDbW+Xlq4ULx1yX8+3/jZzR24VZyVTqU2yiNnIcn5r+cWq/Df6kIHdJ2l5ZWylrYy8vAlE0Qnc +4s1lEeSQX/uuBSW3NjOEYJVn8MPmEHn9h8U7bdNFbnItqT5EiSyMYzNlgQoFryBvBvWY+JyfHrqL +VjD8vKGqB9AvswjAgLJBb5uG3VjcwREAubFNUpmI4F37fHM7pe18f1h8n1+3wl9T1XMZ816YDuDX +mfpSO7JF9RPRZC4KFCOWAMVzrco4InlYLJ+AGQ7s42eiulfzsy0nhI35pYnoqvtPyelp8V/H2w3T +4GDWmH+cSy3uSlyJI0RkbOA58pXLZWnQe7LVBcOBOttqIWmwnAolaT90YO9Zs5bSbg4JMfUWhLTx +RQPRA0mx/+ZbntXTwW4H90D2xCpRIOKMtF+t7iJFtMOMBxxbJwtUniCLtrTXeGr6ql//qDi+35Hj +R09Jn1ZGU04FT8zCavlREovQn3IShdH8gdkMmr8UXH3+rL5oR/K5lQAHxeZBrOF7dXdpqqbIErqc +NAcoxu7qO/bozIMGeR+5vC8jMBBbRv+/jJZP3nnhFB/y2CWv5Dy2bwjQP7PnbyceGPcQ2YSJnAaP +dWSSO7hlyf68wPpAs7gi0VWZrdJwGD3IFU+R+8lmMaLSE2uYzo52JmYBqn0WwuKWgZtN0ZbSQ2Ur +s7o4wjxhH+HqaS9IGfknrEFTyiK1uWBQ9ziNjmRirOeSTN5OzS2/Sbhkw/XhYcndsN/k9fB9wmq3 +iriYLqTvHyznXETPpZBlz1QZg1RawdxVDq7WYzwwI1NQBlOKEaqT6VY6VOhezQ3gYLv2s4MjhPTx +2865Mw8o3LPhh/Ur/hiPxHNm2ecax6qbqgnAJ+yOKfawlM2+CzMKXgl2CvP8Z0iarcBdNFev+NWy +dnqjXLq3MDbXeWsBi2+BgdqPRNEb5OsHCVM+29W1kq35jIuTUa4mYZ4Ei2nRDFLRtMHOkoXcYNJA +j8OIvckL7TLxJZ/MvfYVD0+TkiRWTiqWrAvE+n/xLNxu8quWQemmSrGfnYr4HtvjlwS6L8Fwrobh +CKxfnOVF7/5SRZHklTeFpVn/mh7v0ibpSj7TVgSl8m3tX0W01KeU63cwzTmKiQsaDM/EsX09qFtL +4tHM0cIHE6FkUkCch6sYvmboigB7K+O5BkR5oIhqTF7sOp0MJn9+5rEBA1Vgr/m5/gdF8fueCFBT +Cl6KsapxDnzhFZ/QbCNYCIkuYkW6jDockBBNyKuw2a1BfnvqKjT6qEspdnxj1isGI5UglDvdYDii +Dj7NUUR1T9sUJ8X5HZd5L9ZYwCK2TpjNV9xueeLd49o08KWk26L49vTiEAIAO5xRWpvIuk8Go1Wo +MpdsEuM07Rpa5SdNrRu6D63H9qWMYv4EwmSdYUTTxTPypso0rUKThEOV0zyOilbWwoI1iw6tIauJ +kNHYVWCSJE38jh+My9UJ70RJ6+GftElSdTWFTEps/hQ+gzGS3oIamnHPswais0bOZzDbsZwgUYnF +Iw9emC125pug9Uj01Wp3/zpdUl2FfeZCKZsDYgvxiz1kjyRTgBivVd0X4CW6NosqXvkN7y91l/51 +9a+udn9MHfHvYnX869qJPOZ5vzl/ydgNYESYMKbcicU49N4KctvSL/jtOiu3EuIbIeJc7WR/rFeY +fVjvjlWfemqF/FFd0jDXmhNjoBKPyyWz8qicprJgygvFN/0GeIJ4+CSHYD1BDUMa3ObqG2m/1hVj +PJq9wAX/lRKH1AH/p6EvuPniUQiZOj9QLJk356how0puAO9S5aZzTejcNX+J5r6vEqUVE7PEMEqX +55kBf8TPnxlIPlbG74BshmtBI2zGDogUxWfHjylgr1ViUlarQYqEvdhSeNdtpuGjKsa7VjQGuDY6 +ytCdK01Lpab6maxfPthWA+eHav6FgcxmDTpC5KlWRwwaRmKKTYvOlYSpe2wQ6zorKdhjbThJJy9m +93pZ6XnRbDkYIBb7ZaMfTu9QK3qesBlB82L7YCkRCSfMFOpeoZrcVVqfmsVfU5NLxZjLbirLlET7 +SVl4TbFreg/iOERlo8QstTA4awALza9/i7hlwPUy2i/f6LXniX3D5ruhvbvwCnah2DsUz2elWLZi +yqFG40/58TL7DjGAFAXtuK/v3z2igCUrcl5xBWNhy1EJbqgZTXq9FrmUehp1NdmkFxJrPZakgdPo +1zk6XtQrfo+JY6i8bj7EFhQpr7mDJwJ4FDSd78yyrItCPlJTE1rwXqdkfWxYCf2qGT6xaK0GaRgW +NjJXEFDQ+aZ37qRveIBo3trPrMmrfeio4NM6JDNIDdsIxGMF+Ec0NOsx6z/lbx/ppAk97taVHB9Y +iRNAZyQ37LgscHT4sZ5/m/paMCfOCtk5uyJaWFqaAxfqU4UrDDZ52VHKiX4KGkBPyg4SaHA76Er/ +zuuxy1EmPbQ2RrcbC3F5upVNm85J/2qa2Y4YNZnko79FPCcJExuI6UftpGvLsN1R1BVVnzG2XMAt +OhX+kKwL2cNXIAxFk9cuF7YvwYqyEntpVGAjuGePHgVGOqRBAh7P3tYg60DTui0vK1Q/FQX5F0OX +zIbe1P5gCB8B65MlmEjN9/6jBQP2k3mIlA01gIwFbz32/Eyp1xY7eU49k9evAh9WFcpRWPb1ZsXI +DhX/aXT/nFPa2joOiq5ndC2NOdP8rzOrnGabbMDc7Gu1FvTrexS6BvXZ8l/31ZEY+EZE5nlOh4jS +r6kYlDZJQ3htqc93s195SEmpTsgtTd8DCk22Quvnecq4FSwQE0YuhZQxE8V4BKVeJ6r1ky7HNgvs +PYPeSWMCxmkpBml6DsMa78tFP+R5rKsNsr5c1/J6Ku8Kv2/8SawHiPX9+UDeIKVP+ShTpu2xouS7 +zzbHl3iz2NotQMwRLUgDOryNOVKNjOAqTvokK+ASp620bZOZsygKIj3U3Mz2hz0I1JMw7QAM8wTu +phYDhRFxQP+gB2Qffjog3Sb9El5Mp0ZoP98DTL4aiQZhjw+bUb8pEax9zhFGb5Rue2CnCBGSEHaW +QIzijYdWAbQZ4buGWFZAlzjTcY7ACeUOifUAtj2tr0zDjge8uRYmPcickotp96ohlM58uPmJmC8O +COLfr8TmkCdrSfdIxEReDRz3XFADOVGFU8gdG8l0yASQ1yNqoD7AtGhO30GGkxTdj2K3GYxL/w0Q +Wzs4/E2x+aF7tQ1mFtalWIGNmkJP7yuFlm5UqfhmiKsGfnt7f/uHoKHI7hR/HlLFhYVsFj/xkh8L +Z45DL5LCwKgmxBMdYuTr+hVDqQ/ZI+i3fXCMh7fL4diRNwh2MTn7TV+rCKjbMy8QBvFNVWvF1/tp +4PNDP+ToM4rtnpP4qfr+PNouK23gTCfumKT+D3lBCqTGldXGv9rszG+8BCa8eGWVflkWeRcTbiRb +2tY7r8IRK5Jl4InURPmlfS3NvpHimnL+sloOEJVWgAdSfA3O42HN3Bl+q1Dcuivy+u0TqYq2FbPM +H8bdEcmm77KJL8VAEhHl+5Dvc4GgAYtZIGbV5NVFQ9TZmnuVX8/ZTQ4Fzl5NP7BLfigLUggF+08F +hKFf3cLOUsDfKc44KQygBsuPFZ/+urFsKbHg6p1rrRWBBhWzUfLlCPzahYXPylB+P/LRvms4cXnL +O1PxJ8fBX7G7CnXan7sObir9vOu14yFpdSnkwwKYI0huwsuywXWQRX+8uM1WXKqxgbEBbzMt7rBA ++zviO232sxQc2skOwAovmp1XJJCo8+TWTHRsl9LOx/L4POT57R6znC3yFvHHAjPPS2DjOHd5pZd/ +0jwujweHwfUhgz+3jsLgvJBhZbDQSC+AoQoOjhLqDJgK3qxO3RESrP9pFC45VRXCO0TXG1DZ7huN +hBN4BcZTwn6aIkez0No81s60Puj2pGqqQ5v1KoqtnuxpCs/ntJjCpAcQe2CjtIj4SZ2R0KAHo1Mx +9Vpl1/Nuujbp/Wjat+ooK2tHbkphtt+JxPhhUtYqfC5MQ1OM2SmlKjhf5wIyx2UOEoHxNmxy2Idv +39AcAohdfK5n48DRkQ2cU2bY/VRpV76XEI2qHv47VVrAYR5fycHv6VD66t3+PIWxW9BRL9ekOfaj +iMfcmS99xvqGndMRZk0j5FQcHgHK6X7/uVvdxv52oEuBLjbURMG5024jm+qug6h3SQRsnSxSBUhQ +1qfIIbVmS0JNtCHdL+p+CX4tcMt+NQ464jNrTygHAr+SMtGGS7H90qtxRi4iclpQ/b7S8Ohavykl +d/A02p53EPrht5e+b+bs4CPVNna/OY5Rz+asHdkikwY1TApQ76Wo1a/7K3dDgovop+9OY4dm02Ux +Vb//W8BbFJt4J4sw3KpeaqSW0/pCae0CWG8uChKy0sgQZvKeskOiNhpZSfnoYDhViLEfvdtTHJV1 +ZWq5GtUld3FTlGJbRAErLJhjZe8ailVLlqa4mEhg/gjoBpFR4hwtTAqRxOqn2qVXjn1LxrsaH6A8 +ZMX4NzxtjltXZG5XsT8gH/zjUgAxuCeouO4BCLYzjL9+HlmqvUFibQH5pJdjnQ09Am5xNyEgs9or +RvYj27Z0YRftwchwzZDp50i2cfCrKVcFreuO0QjG6qsnFTrZidDQ0KPRS30Sp1ug5zk1dXdn/DLF +eGNkxuYYgCk3kqsBRVxLD+XrvSmgK/wk4yIEiwie/AmHrCSMDw9MowZHIVSXc/GlpB/vAXQaWV5F +BXAXQynEGLXh933tJvRQu6iX3u060w2vdHx0eVRwMHLDCq8SbTGYToNDiR196U2X4FvYjeQlDkZC +WaVErxFIhjmFeP8UQsgeLwuwF8oH8z7h/L/6ce+7chzdDIc60MZw+8BBY3M4dsyJ/Uujxr9fJ3r2 +XxblJegEnSBC6cKsJRJURVKfu+GWR5M3cvemu7R0WDgSAQpEcZjt1lq5JvXOms44v2c0uTuisLFw +VYkQ7VSWQAQU5PSUFQdey/bEJzhlayniklxb40rmXnBENrFQpp+X87u3XHRJ1PI/jdOMYBm/Kxqq +ZZI0LWlQRMpmpTYiafP9GX2uZZ2z26bJDIxjEc90K0OzN/WduSMuWgWW9oX+FDqY83BhvFM5FuvF +xP3nMkvs17Ec2aj+GyaQl5/m7gPpDDrvbCuWVLIwziGNxKBnRQ0PtHfVpEv5f8R0YAB2OUlMpd/V +hJ+wvFiHXJmeihuJSY8j7iRtezzWegtOhA7hq80sHwDSnUgislzfDtAh2PghV5O8V/vsIrdwkwrV +Juvz6LQexdG8raIwCr3nU3cXCQq0aFuGkb6zlyr229gpkxPCveyXsiX+gg11T5afxXWdCoWUN2xk +pFpJBK9T29N4gYPftdEjqWRzMiP8aAwZ9rkRU7CBo9DNyqKzOrQjrm6TuozVhRRhsSdkaXcmABMM +Gwv6n/5O/BeTYDKVeyy8Y3b4iG3YwDtGlN+7g3sw1DX/ysGsJndfOKusb2S+y3f+0FrOIBOAY+nc +jCx4MhHyPvhRxDcDCsJqzrCrLdqV6a16hETA11OAw7xM/y/nicFVsADgKVj+PvF53HszeWPF0Wk7 +IFGIern9OzT9EX3Eet7vOFUG/tfxZrsZ9e/GVkJ5qQVtZoFmrsU72X2M3EeTea9295qnWjfrbgPE +9AebBWFcDXak44ic0ASSsHMeEA8VmRXYSDwcK9Iys2kVyzzyEXXcCBrqqCV2R6M6vGsfgO0XG2Gf +dgBSd3H+QUn5wqkpS5iBAurynMnkg2hpJ6+xeDU0JfNpRCvmkRRYfNESQrXOiwBe6eBJG4RT2QZU +jK+zf+uobhMZgGk6z2yKOgcqQpnjHAsDubtcf8LepIfovAq0f7zlp0sMXhjpIlXe45TobayUp1c+ +0TL86WxIHNTQCy5Q0X8iXZVSVCU7Ipz3d9TzrwUeZY/5H2EaFccUogn/HRP2EZ8JYNcOY7dUAqva +WQT0KxHN2WCFHyj8sp/u7tgpoQ/opzR+ks369wql66tkRVXLLeCpqymDvUefRpQam5seTn88ePKz +D4vRZdtBMWBASYluUmpzA+B+ZJg7/SSdgg4te/1oQZaUtI/+Vtq0TiTCSP11fNoVQa+eTMiu1pfL +72XisNUGjsuV+pNe65dbEMQqsUs7vvQEygXIbm4Lt7a6PBnYF2AsRbnE/jGgV9WFo9piet8AODx3 +/UVGXVvjCVuyP+qpeG9B4NMSN39aNXsaFc/3fmshcAOt0LxryPvtLwHdAK7ZegjokwkPbE29np4i +QrbSBZnn3lEKaDIYnpidgLha6kTObvbLrGrLZnSQPwP1ne/y3Cl7wA6oVSC5NvFaLA3j15z/3apz +ERzYtNamIesiC+2Tgyqhl0Owcig5jmcxVCga9MMoND/hi7FR1rj7CY0027Z2D+HS5HFLyN6vjERB +m4NXzq3iAMf6VaYizcg1hMStgx3r5FPlcACAyBSVdA7OVOnn5HBbWzFOCShyuBituBo8czwpH1k7 +jXvj1w3etPAUlCzAsqDKP7RRc21MqFDPwgVaD1BZETMryMQewEpJS20Jm3tSh2TOViWFmEiVrp1a +C6jI12RcI2Gn7YY5Yy6wJ3jb6a6rdmocXDBoD7z7OolVdEfG/9Rmw/iCR2371Sx/2kPg6Q/SM2EB +N0avEaNhc9OxVVFUIb0RO0pHbYn4JTBAy6smns4ZUDvsJSOpTRopLNICQxUSdBs/fZchyypv2VUg +v5X4GLR/jDd5QsK5+Ul9HQLPRr4g6U37xoTwBvHVKfacSHY7jDRITPMC/fey1dNFrPAXCR2ce4b4 +SCwJ+6CQGC3RefNGrWGFhCLBuLQRc28LbNJ+HScrhrXij02aWKwOZ/ESPfAYgDJZyRvWs71C/lAB +sp4lIlhBoKGI6QL22k3u2B0fFAJf/nis7MfmlFGqBW5tesw8Dt88NuzO89yPeeTC/VQOAiykgJDm +UaOy9GkH3rMx1ADoSGukRBNbobaB2bQXPbp/Ogkxxy0uLmQTDjaScAxdoQDmKGWRm4JO/NrghULf +QIvNpCCtlbw7nU5GXM4tdZba51lZSSlO/tpcg3+4Rd5Gq7akkRIifp2U6dCI7mmJu/+PAu0ks3NM +WWeZQIjNX2lYlwOwPqiRtK2vMeCMmlWK2W1Ycrzm0WxNHu7/6HWJ/9O0ZKDUbMCzW6fz++aMrzJI +L1ZzwiCpVZ8GmUe+R2D3njpYnYkB1uXC55TqHe5bTdVoMY242IiOYQz0pnKxC3NdTyR/km2SndMI +luDg08fZI+J7WAkLMr6wbx+mBl0ghGBhPQRNF3PdoTy4l41CR7cdkIJ+y6cxUzHVhMTtxlv6Cm2n +m3YDN+/Fpt35fOWpyiT8L4jJ5ErUSM58fmHwYSUb9sOrr2nFmVurfXqaMR/Z4dph7O2tnYfku4Ql +4AhPCTTT/o5GmgGrkzjBvow724JaclzM2JWYibVV7bNh+m8tDFeGfwcszCMdKWVnnfKiNpoOfasR +a7mSyI5PrMlKjJnyq6H9+HXtBcmNzPT77R9ElvoqNoteWfIhz3RKwTHuf0DmgEvjT/QlgyxlFYqG +2v7ezAOng9H5YLHC2d5BjxQ2a6bWqGXhux9/hzfiiO2EGmRPVQdI6SYkWLDhkjlPH9yAVIs/Qv7c +QOTrNyjtp8XHB4T1jGQryxMhjmiIhFbG5JAX7hqgCmmN1+FqQLkbcr3TEWiVWZsAQ1suQh0p5ZXm +LAr7d/Rfj1KcyEz97lJ6MDoKWGI/7GE/TZ0EVAXAypo6uuVUaNdIIEKCyZOX3xas0YhFP73yLRzw +yNN5t2y9FaGP3hIC5naenRitNg5w6mGh9U+ekIuEz/02SXG2oDCnQNya5kZR9NCavsAK3j/4QSN0 +80QEzQNUpHN421OIcml0K8KMHd6xr+8HaQGJwMErWn+1yrhXFcvZRqU21hjRZX7b3uGt9HE8dB6h +ww1F4G9OqWi37gsr7A3fF8D5ngZomRBkQvgEXWayNuRY+XSByIf57+5blGBHiVQPJHg53FEI8Fr+ +MRshC5kRYhdZNN9d7QOjL58PCyMzZ5UmsOfLrFT6A7cSoYHAc3MVEc06NzUT6Mc9adV/hJD7BAZi +v6ZmUsTkuMAueBzvAgtXZRCkcFdJlVFAyyf/Garx/vKWNi/AtxBkrOde2JnYIAGiK9C4cHiCpOOt +6t1k0n8UWVa6icUK34ZfVaIZJyIhfVtH2TH8v6lrXBmH1BX42lTwzJzinWdgIpsR34wrx8emG7Ar +XryO7n5wI/n9O2wZhwh7MTsUq9q0QFYo6M3KZT5CLil9+ZApV45sKGT2x46n11rV2ETs83+Vvn0s +mD/QZn/+pCEhjR5MlBDNwtI2U0PUU1DnvHabpU9LKaPGv7Y3PtUJWwOmdCdRKyaTV2DLZGRzaLHy +4dN3v02vuhRIVm0l6lWEscXHd1DqqJnIr0gktBN++EjPKMlp9VYIY+0A7zhXdz43KdSZrnnwPLBW +JcQb0FGPsd2jqyyup14LmXHu0WLpi+Gm/KGK+11I8JrbsoL+VwmdGug0YALLEc4+9T4p8FEgJNug +aTJfpvn0utGxvcjZJUODqqewQP4jC2tykr36bHoYpmF9xAkJ0NFC01TF2lmI7tdXm3b4p+0+oGWJ +yt13GhTKvt9wpDmj4oNoCa/ijJ4xcupL54dCtDgLhRLXp+GA8XfGc0Ci6cR0RnIt21Xw2A3MnDlm +NKiel8990c3An2IDklQJQ+nUAfzrhSEP1ab/tixiffD2pNA1upUIq92WKKPho6AG87x2IcEm6WZp +cSyTk4ZPY8vtQIapQIOfLIRSA7P6rte9Lql6IR1b3O41tAXuZxJJAbAxmPLJWWDqx+iTj1Z5beuo +8GQWzhzc11F0ch67wIdgSaOjeX0IcCsP/MZFJ97Qmvv2bzdF/ja4XoHrqy2JYbZQHTFp3uF86S90 +lOg54PzMBRV2C76X9P4a5PsRJdXJdTgRIBMfjvJJ35ngA5CZ26koSa5MZi2W+yQ/IL9mwYMMd4lW +MxJcQNgg009U5U0Jpi7Z/PFeZ96N+Y5LyeyoWmXZWNGiioPMY30yk57kMH2emvobGKFFbmt1gGTw +J5iw9U+EZOuPe+uVzjQZRzV/tHmxkq3sc3Pgpd6380osjEb6PneYBHgVGqBTQxY2QkVc38rpMUfq +3JkgH77kkgA8+XTPSC2sFJgRnh4GSrZQeZTEGOA/F+HKp26/DSpxqUHQp5BssLBtBbnikAZghhy3 +bO11WMla/BUUtMDPsvFPyN61HAsLtur2aY9ASHlrongQ8/ZnJsAOJmsXVzFXmIRugjNmeL0vIM3O +J7HVkQPGgLGlVl2JFeLZ6K1q0HnyHEjH0hhKLfHliiAOUrOF0Kx57eYF8ZYo0tI4AmK7cetE++V6 +cdMMdgUA0iSMzCrZB2zkgoiG5aYd6ra/sxd/AhebZuLUR8Sy7F4K06lZ5MUjxgQJKu9/V0FkrpvP +pQrLGD9DSgg7s0oprBtQtdnkMbd2CXfzY7dEFBGQPmXqzopFihZOz+etUZgcnsP76567hZB1/LDX +FFZBv0bU6DuB5CjdutxflDNddMxN/nZLEYuGiXjsu/d2mYR5FOBacD9koytli22ovZeQP/e5mf+8 +EXVZa7FlP7RgOFq4bZvMRBnorQpQObRkorD3sbSMPw1WMF+1/btwsLmU9IDyUu5trAR3xRf7jvHr +LhFzuZ/JeTZjy356emn9ft/p4ChXeXRVJPjgxswqlyEN8CqfsM+zhw0KtUUfdMuFsjM1+Ek1I9Aw +macrnosyhSdMkAAfhifUIKOS4LzsEP1hz9IufFBWOv1wSy3Hi/YKCM+e08HkI+BNjcaHFyhN3Hlp +PNbCDrDmxXtU9k+7Cdz7hmlt1AJXxTkDhVQpwTtOr5sKoC0cyiOooW31FT2kNeIiHv6wk4XYTIbj +Sp2TYYLncc3OT5neVBO7fXrtFB2vx094TUIJ8QWqieiX9MlDQo7ZaDxIdjsXgfqKuDjV1kn1riVE +U77MjRa+6ouLhg0zVRhKaGpjCcWzvTqkfCVK10Blk2QY3lHNYB0YKuIom/xFB11BpkJ6sR+Vnslm +o8RRdUK7Oe10qog15y0PckFhnI5cbtIwLqqDVeRzpKD/+YUWcQQMF3Ke2lExNcckAWgIJc/0Sl4A +Ux4rK2gMqXdbEZzWfdWGpiQSIk4nZaLBDYhNXTvsqthYeTaRCTUA3UVForEUgNIwOJf3cTepoS0Q +wV5oeQ4Li0hF69NLRYf6FkyPt86DHsubBWjPd7InG5BJrZv5TGEpw3yp7dLL9AmKw/EWEL1McAGc +rrJIPKh9GIeIpR+siqLCSOPZ/whlv41nt3FcANNF2ItXHq9dFWd2ndu0+KtisQbdHfBZcbKqhVkH +K74+jzc8mUpSgjV79oZr+lUH7yyojGXTJsuKyNKq9L5POlorDr1gyue1t+q4tP5u/8bAZlDDkzDX +UHEzcEawqK3nxJTt3V0YjnR48LBJ5lvd6VPlwy2HikpHDOFWpjSl07SI1evYWT/KL2ErRtfdDbXh +TazaSqlWNobgn9Qt6s1UDW8UhdMxhVEyHKTqW7qjaMHU8fdu4dRRW76wM32VwKWZKS9XYRAWVgIR ++ZJeMJL0pkp8kn3UXDCwAxvlEYG16IQf9bEDzlCXRo6xG9ZAC/mXzMEK8DddpsOZOYVdNZONdaxt +mC7NngtVDn0UiyPXPsjdlJOSQp7SGbhMM7GYfdSlTUbAdPq/9bebeVFCliFWIIBMr6Fbg6zn8Y9U +iL6i2kYBbQ69cXkhR3TYBmHAIbl9UwnJ598EU/vwgPCsBUaC3e6d2/u46lZWOBdJGi/tTtdBKrrH +DFXinlM/g4/xnoAG3totmwZHjHfoQ0wB8AYvPJRRN1CfeZKevrw+IQaJxF4jeVCR94H8nh6LrKw9 +3JPd3nEZQQqHPT+F6hgsPPwkhLvdqMWx7vIXMCVTjbr8UL/d4m4WCbnMvCSoYmBqYqYFXgAF0ETA +0u9IARtPhGpEPNDLzTmonLa7YFYrdfK0VkQa7Z0pxSZnccUKATEfKccKzuFYo/UBCd2W8rB6ugZW +4yLCDt6sjPHjeDMk629hSPfY3lzMtfF8xRB8I6tQs0kaj6b5Swe0GMKFEQa8MWdmNtYfaTOEwW6l +JIRz9C30sqjCQbFMFiab3QvKGuzyYSoGEHfAgeNTR1UTrAOVWudiY3gk97MuG37XA4Q9xAf0xX65 +qHyEcyTK+CN7teHbTctTc81jNLVye4KpZQK+EWDVqHayGLQ59dbyutNlJmOS9dRAsVuB0fslisxP +9VG85KBb8mZorJeYBQ7C9Lc8IP2fnZXH5wNs1dbueTNFJ/bODn14bA8ptESt23CLc+gr1vS5n/QR +nJ9+Lha6cdfXmJmL98XUm9LDcHxW/mTwzRUHtysoIeWLy8azzryiqkbBDnd6pt7o/XW8P219A/kq +pqypaJlcTVomEZ5QdVDYI7ZVqdJHe66mZLyFTAknUzTrYRp3EWP9xHrYs/ZZxwQ2nz94Y7fqgIR5 +Loo3RvW7QvGCw1zB8BzsH9QfAZPYUIX2zIDwdg0csIubwyHx9WcP4V4oVnSA5j9ulnZdhpfb9BmV +D96pZqPSSc2xngQ5HTIKfC5FkgvVbOSjy5s4YBVnfZNz4aoLBk/f/YzTCX2imW2TAbDeNKU93SmT +JeK005cCFcXS2ZsoiQ8LnH6LE6o69ieKQjFdzXpQ4WOian1ziePCQBmHCEB8/40a/iQ4+3ja2Aq8 +kJB6ywXpeEFaqRvHFrw0TYodFGaMZtLpYv+rWgQRkh9DkIzd5U6KdUlA8ZMIuCthaXED3CVx/H7A +YzPJUKpBVKON2yLVyVWVXR15kVFjeg1nYbRnOyBc17/7RZ8MLXFMrH73shC4jybCyTzkvUHLDK5r +xwtLAu6laFTiEFTiSBvMv2wdTOdaY01I/ebzZK+SbG8gJh99MCbd0Ewgl/FmSxsDVrS9weL0Uq/x +Ln6h6v/gaI5VUqm+UGktrzDazvhBqO7rxaAGM6wc/TmLgZ+yBFCYvWEm4UMXlDvIYxN7ftFRD4ft +d01erh78N6mtzqB7apgef9lLDkjbXJzDhQ9LgHkJLo1KDRelwo+kStb8qotXLRlb82SUR6ZxCmUB +flKv1Td30gjyBMwUSjWgs4gu7U1YzBLQAZlJ7cphVs+8zrx/TjH6iyOa4usEvA7r4bCCrbKwT+ua +qrXCh+BMvRhR87iJh9l2qS0GIfhacI81MF7sgCby/EBPWgJPRwGwYdMt5pQ1WfjcUrsSBMOaqG7K +2qzAbZ+5vKYxnLPKv4TOdUm2vRPShFWdf5s6r974uGZ5Sphbt2qPqGgRuwlpTIoFFCpL9hNLcuWu +aBjJ72pap6Nic47r86R4iqaY9pOx7znXrcClCBD4x+yuuziGIhlHY066Hbn1s9XR+itkeyktzb6p +QU97Hby3eiKxEClimYnUerFOuG2ajk78QitPQU+Vb6/cgH55uEx4yxzArH9sMs3+JCMDXg8iQBU4 +4jpGDlInNiVrnlbLFNLOILv2iAfCDZOgVg0pjkmqCXiPoUVCHsir+/yMO2ZYdazxAoR2+OVIxqON +UIiV1XB9vvmRM8iZl3isLUH+rVs4SSXkSgXuSPZK1iU0QVeQ5YyFV1ZDRrtP7J9a9ROP63tVmmdF +KwLngj1W7Fj1nanxpKXlzaG3r6WGiG0R5a0AcVePrMrAOSmBkHH91Fh4nL8YXv0OYv70DBmyhn0h +dgWSFEpA3HgSo9H7XgouP8oZMdwVTXa+CQQ5j9BRkQk6S6a/SGG+pG8rnjDHhsPRPi9wtIbC1QqV +3I+D7pvsyyRrc2001KTaW1UczIdY5DjOX0v8Ku77owHBFcU3y24RMMpV/DnPBSWda+4RW7AqvS7q +063xACmORrwdq7rlRnWIMgvqqkLtJsZH+kvwHiJVV9x3ITRaCnZV+UN744gBQIJNKGfxTqVmi+tx +/tdZ57Po9u4/O0o/KHYUhj3wu/n48r7cjRxj+29GUBhz+wVPCwyq2obefiVaCx0ZjJoUfl0Iro35 +yDFOywXkE5gaCxWHLvZOx/nZRBdVAVbozVvrh/CPpzyXFp5qnCahiF+YEtUYt99YFDwFEaKv59bx +MqEFcn/KLcw1o8Pz7M+zeJTqhYwWvkrJUlf7z5rLlRNkfXqTcCs+sN5IQfjrRz0nMaQox/EEDNaa +twzMd6uJ/rxiwfNUH9KxgE5u9WaeYKAmI5eY8huAcwENU2y0yin/UvpTiOhO2WxfCs8O6wRHKK6U +SFVNAbSyIxu3dr2V3A/HsdLwUqa68mz1VabfjNxwj47gz7yyppaD8/mKwcY4+OVdISVV2q+4iqGB +pgm23R+bDLTkgfbgMGxgQ5jkS1I2mcgF802uVgwZhNX/Wgt9iCw7UvGaAjyNzIpCPZRKoE/Tr2lK +VPPiuWPhlqoKXTbolJ5go86TDhojCyYMKN/S9G9VByWiXbWFJjnXzghWv8qBhgf6o2UG0mFhcjGl +2h9jSfx1cYeEcBv/CDPmmkLIGXjvI1jxSvlu7chHm0aKm4Q2QhFSUwKzWh/yqHgWj34l+MHIAXD0 +cayP1XGlsZvy0jSkMfmJLTB41ffnBpqWPpMITpkUElkLeQCHKSAmEbF/qp0Gn2kKM31qF9Z+wNOg +9yAUp5j77sqkHgWDbRcqo0TRHds+1TufMRGrMYRc736StshvWb4pVOwBHJLWanf9LFZKcd210Q7r +zK++EmZYxOYW/uooF0tcYW/gs6fBuVIqEXuYQXTdgiLq7kdsRD5QzZmG+JyQIHl2lbrMp97drHw7 +vAwSXc295Nzdt6qEJbfvLvc/aNK7U9KMe1kf9Ky8EYuYQGCI5OM12uFEFRmLI3SSihYeLPTKJ3LF +rQ/Ir4AWBpFkoePLxI5BRTRjbb+GArNYYUCFJTTEgOlObjI6k9faY4ecqUZvh8QwSsvYx0MBQl+U +6jc87hV7FM1CBgtlpHdS1xpYKYrBcfntlUhPYeaagc6XWiX8bpC2s3pmLKW7nfyXuLqnMGDyEP04 +uUp8/jvUSlECochIlzuHcRooYavOcGPHJS/7fRTPUfqkGjhKvqJbB247Zw8idXuIWldedfajq4ZR +XAc1RQUC4kWzJ5MYFIJo5t+2jLi0fxGcOQMci+1YuOyelakeHGd6Pm+cXTuWjLpks5lLHKQwPbTO +v8VSjhiSgzAKkAyg6bEsJrF6Q4XAnMmAOX5YSLUUfu4BVfJFKBG2aM57C0/5wDLOvTi+DyKhV8Ib +KYOMjCAZsuc6lbW/x+W1OQLSJDVMLLIL5KrlD3rRyu3VIESEa/ty0rB60JUG/m4oy3b9w2L/cZw4 +0pos1SfScpbvsu/gcnEvZFLMorgcszm3Q4Z11CaUEOmK34VUPYyr4MTv4HSsBW2Z0F1B/eO00JmG +PYaKnbE63MYJx5NURrcMLrshwlyQBMu4zmWRsnv23f3P48JwbfpDIg3JKT7EF/5S2zu31G+rcEiC +tZDw6nf2tayAmfP5tDYyF0I+091ywIw7ozTr9ngE1kOoy6M17sF2KcMuZ7tDQfUHm+/B0tirok5L +0GDwggo6YgQsAyw4JUUo5iXsp1psgYbsabVs9ACuj0JCHSYZE/ReuO0/Feb9IrKcSRjgnsP57sW8 +g3S6K4kSwLyx4ueYgB5EWSRticsdbDfRwjD5BkI+xPgFvYXNldrcLAjTCtMbgxYS7ve80s6pzq6j +O8RlIQRclSFavmjkmkZqXwgpPstAfTuu+OiVwcV2B3cK0nYK/10qzM3fbxxKwzdrhNs4tVzhK+EK +qmjr5JYWJ1FXWCOIRvoKJnh2Lsu12fwod3z4l5TKKmGD7wrj4samfBeV2PrcIkWDNHh+wOYo9koR +Gu6QpsOaINmv3TzbEmMSuqYLPx+WM2nmYAieuxQQHbVB1P6iY4gi4/S7I24XqvKlYtETu04DgM7q +oYzPqbtIWo9DIu00lqTNK1zBdjRzol2uyJORlkrtKd4uVryWCSCc6Ma8wmIpWf+Tb5r5PIbVx3Pq +vCVV5boOmYzNZhYz+8rUNVdutxGsCh7CWUiG7FsVQdshkGB6+uMoERsmZpiGpW95WrlGbSDzvgGM +jliFQBsHoDrqmbAU0dLT79rGBTweZGFQajAIyhJ1/JAhAu5YQ8CdlyuYXXlvGUpKuvm8w2hls8wI +GmiCejkyCtiP4kDgeEcfkPYjT34/qvzswkC+YcBFQ3Q0WJnh+4QnY9cfZlLCjCDaFqllLncT8Qzc +n8Ef8lCPrP6dRPLNul1kdNdh380bDCz2DZPshlUz1KVGtdtZWi6khX23a/G37/nertJipd/VLYpR +CxtEvWZR9TqgasO/3TeOrO7TEt0dC6HbmV2aZoj4Xuk0VnkXnUwJhH9eoB0O7e2tPDW9ARiqrhR6 +xO/7wRD9U8ze8WyhNpV1tDZYCS4d0Un/uoax8oBmMF84EdBZGmv/FxuoEV+iTtaRIitdO8p4E78K +Fh25l978M5WCcxUGfGMQkWXArPKrXVKDn7l1tcxV9o5u72cvLP0wzgj5I49+gGp/5Ko1OLGmgxeL +dbd6T2ro9x8kHULEaGKVKi1p9hx9c+7LPoVPG1NOanSWZnnCh5xayX3XHGpjfWWRP3FA+mOPlUfz +vaeeEXGUXSp6KzjgX7MmMCRjS7Y/U0NXZwwtKlIU77oLZW7qIxykUCk2UvSmiX+DBCQgpo8dZhbd +DR2qA79FaksVTXwdBGOwUalreniLTbke9IreBZw9zwiFzCNweZbvJRLSkhehZ/HEOINwNHUAYZjF +Lt80Jy/MCSTXKAeJGZbdAiaMxEF0QYz0XHyWPonZdx3vkBPwyQFkfwNrCgouzuN+2VoGzRuoYDSH +rm46BVJs+U4mx2geITTSkTg/bIQLblm1os6EQBu5nN/JlR+ZriZEtRIYQE9r7j9soewWBvOaZKfZ +9u4Xv/6FiGf4GsOD2EQuLlQDkigX2sKPP9sLYuzWe3TCsX8fzL9F+CLUwrfXkLDzuucKxQ4cW5TX +zvu49SWFKfea9jwqrLmGxlfT1gxy4oTSoZB9FwM4nGHmV/iAdiadx48A+uk391w5zUYrjlROlOAK +mjidtBf0EdbEwo/d1L/gaPd9/sFzlO+uAzc7FAdtWjg9aKNl95uc3Ws18A95rLCLgSuKit9RuqDS +sUKH12sgtm4ljFOHTj+GUPhpcCJZPjL3/1cpgcSntCWX1UU1Svsxtxtxg4Z9pIW7P9Gkb1n1gwlR +rYSysAi5J0R6q9XiFVsaPIauUBRh2UA+ROh1Driz3CZb+JCHJQ6oVZLyeM+a6aoikBAsUQcvHrPm +3fL89wpRNLihvY6H02RR9B1UeAzfTyoTFa2qYlx5BL33yPUoC7f3aaCmXo4WhQOXXpSLHBZbimEM +HGmyqUml062A+1CHIZ3oDp1BZrou//HpMQNqillIqitNh83kQmQy/dYEJg1q0PnbOAEgqhzUR5T3 +fHo0LOjfv5hU7M4eOxmRLWzBJUVRIEZSkl+qjGXWHA04dt1bhfvWssz0h91ukzKJBCJoEQuAQ0tX +DozQjbSnCe0D3Azt02evjYjUqGtg9EGdhWLBzYscOvS52JQfYrOdVnV5LV20TdHqUKSNufPauDUF +iXhk+7S5tGWO3JqfHZl/zN/dVgS2Itg/nO8x8p5cZRouJ9BwyihWyLxcp87JmN6vA0fcvbBkftHk +DnAOgoj3Cqu2OLTKlgUO9SaFuyKko5/o/21IDNqQOFlH2JS1Kmx2CT2NHpkUwC8EkAxUAOcWmLeb +v2R0PZXuXHOP+3CVxq7V4aqpsnoVkpOSxVPXVnL9NmLXcY91QpfRfSJhl+vlCRKn/sq6w/pKnmn9 +d33lZb3qnwqUzm8VD9YtfhMcdGWerR/Hu6ujM1pcE2trYWSXgJ+Xs3iS6PNd6w8Xm3hg7QljzyL0 +foUpP55VVCsByguFbRD4CBlLKWXJllgq2Uj+makYIDVB/8uHyvZ96i746lGaj5hXB7fVXe9Wp0Z2 +d8HLpJ+MP5KHzS03I+VR03bKugLGVgQWr44woYCtLfPK1+h1LVVUYvZC7Sa4sMATOtQ/tA8uIvLt +cQxl48aQEtAAsWvELuftRRCBRG3DM0g0HL/I3bWcaqdmVlAZUziPs0whEACM0pvgzLgjZT4NSNv6 +YJfLlclXdvM7zTznkGL2jniCVx+ynNoM4oPZExhbvU3SStxM6vpuFap6OQ6Q/1jd5rFDWu3gkg6m +r3QnqtP1AYSAzU5yyPgof9bsK1nkyMCFxGPJ31lYw5rJTTevFTiOl4GSZQrux+ddnzhhvXQjzzIH +Ea/onzyNC/fchV3XdIyU2nKM2NSQP5enW9gIUZ19nnXExLFwodrlmVDbvwtOhPI0x6EQA/adFto8 +SJpYJxJvk4dBHfvR20ryOldqyJfVjv4kKwJrmKDg/LuQmoL386oLmwjnrb8Qvtvm/dmME/4jd+ma +NEA4XC+y4MGlid8uzI3ckEK+OJGws8tDgsk/JnCJkVwBMgdOsG/fg+z8c2nptJnCbjynE/hBVtZo +xQOT/QVsCdbBZ906tySztyTQ5kPXPdKjTZ8Y8GI9LO0zTh05Oao39GaHKY1eadtPPh2rUCxlWQnO +VQWEUXglLVmRp2FaNDZXHiGF7MrV2tNipm8CNvNrYjtRjNbldsHp1qZuyjOjL6lK7YPby96MtIu7 +jSVvsrRF/xmb0gJ/WIhS7tZbyaRYLUmqmJTY2VGcu63BXgH85MmlWMleyYtP5jM2cNgMbvY9lfCz +vPFTnPhHRzUvSaSI0DbyIs/j52UIOvMFQLUMNQMQcOQT5Q87QmxEOngTD//TdQDFX8dq/XRFciJL +zD5VhvlA+4pf09Qpn0Mu5Wa9sOOqVGFlR1zjFVuitrvkpAm/rmqWqZXjKTP6//BAbgbJkPYCljPd +WW9+Y2qiGJxQzAzM7rJpVNW0EVQ39l+Zr1+hYU7+bXP0i0yjPzzfl/AH91gq79L9XtMkZnDyEaTl +U0J4CZ8U6Tk8HxeRKMrLhgMhYMHZ5TeZTEQWk7REx4hPV/Rh1ZMs2PjDMdIyjKjRUoTm2IRfoNVu +S/PDTvT+FgF0lu3gEWWrcNSbF5wIJoyWxaYPTU8WQXuWothuAUbVSobhsatnEjnuvJbQeUruMpw4 +0Mkrw0pyZciBiztMTvAscC2XrvToDg2IfpDTNDLdbdt/3zDf0in0Yy0QS8nDJwr63Jdr3W2Y5QWt +O8yUBbc1ZzFFR8lQt+N5WwIZ47ODitjUUz6zOOLhOhywlKRtJHN4UevGIDhIMfQUNbvly6NVponX +i7SjBh+zpt2vf4KvYfRG8GQIbvszHpT6zGCeP4FKrJ2eesSv6sNxRl86PIC0y5Om3kZ75rYHKhWu +h8AS5ahVu5EBlQSaf7R+isGPbcZvlhlUfkwJnrMRKtNmke82Y25D3KsUSW0AIqdz2Cci6MCR650V +6euqHjHlAEeM/rd2u+RBbWdC6xXwEy/I8saPj463BIRRnzdQbf//RX6kQCp/NHxyM25qub85+dxY +VUu6TknbUh9LpIUSXcj1NLI/VWwmidtwVf873Mlnwh3fJAsCJkuWUzgRMBg11GT6cPbw85Aoe+Fp +fV6e+pa3jPvXTRkRTF5TEYh7pm9eXymYQScP9neNVH7FCTyX9zHt3Iu/PY8NBgkpbAE1BXS2TcWu +r41kEIazsmJVuy2Ul0UQxIsFelNZh79+WIAJxdEix4JMb36s/MQ0TEa/9fb/rQ5od3IqgZU4ig7k +uESe8PuDtJbNRR/f0Jt+YCL6Bh3B7OLCgJ9827Fm9H8ax7wIkn4DLpDoV6lyxLkMx3jmoVvGPl7a +UNDBIyd+gJ3eZ1JXegv1a2w0AiVCqSoXImB9pbZR0nP3gtFH88REj6CEjSjf5YBzGuSop2QPUX0P +gpkTsbjpAkzdUCYwp2BTMP7wKExrCQ3m6HaPEUDzi2TNx4MI3VU+cL68xPiBW62u2SjgitixqDTJ +95qNE5j3MGtA9ToQWyr79zBhvPes9wKyhzfbfz1n8VA9v7uwxfDnrDRuDFDxT+oUHdCGJ7fAoXNC +2o54kicXP3xy+WaPRfl0L77ZL2TzmrgT7QdaWp4YTz4oUBLavkNt7PXwOC8wqEoYSJIAWawIA5jF +X1HVyzbJFe8srFkOJTk9sPLNaEtQTm/YZri1rGqypQy2FW8ggh/5g2uZ2t9GsveYKfBc7wmP0gay +yew2CE5XZNnlSLdN1xH4nfix49hN2Jl+z+GORrPRxfMvwZJ2hqWXTzHZfW3aDOVziYbNBs15qrQG +ATFgBvIA9QGzly/wUm8HG7h4be/fMH9l+K9wz6KmOlKYWHy1ltnyWtkR09xIJ1x3xrlJRGGpAOD1 +iGO4aV9Ikt9cSh5CillOJKgN0LOgm1xeuqKFI2SfKgaQHLcnKKQVVS8//r0joBmUyIXhV1Vr3usM +ELOFbE5axcAoiYIXzQ5TB7GRdgKWToH3j7rdYZRMuj6LKhn+Z7p+/tbxt0OnS6GpCw7+fhBD3osV +Pd6pKdBI3U4JfGf4FnfQ8qwKcOttnim1RorYMaGRlHWas+VGbfNldo1D0+zb/Ago2cOQx0323l3i +2fJ9dX2D28kJc5zjogE6T+QLkvFv4jNNrztKkwnnNmrBqa72HsxB/WcuZBmFA4UJxj5cyBWym8WY +Owv5yHfo1h4c7mY9tn9zaV2womRGB3BsCVLcYnwMimhDk0AdkqaSN791DAoSWWeVJi1IYCkkgAx4 +uBgn2SJMs2wxLkaGiqPo/AXiU7n88ACpILWssIcHxuN/obAjCPkFnFEjmZLXJBT6Rg4U/z7ugKPS +VWxy7AM7W15ku8y4+IFz7SOx/XEkrBDDVVLTz9KfJ81VaPcUeIkwc9oCmRzlYFHejJ/E68RIzH44 +jTXX+3uSU4UtE+f/qej1gidm6ZcGM2OJ4S0SmQVtKGid/gsShCnHQAjpZU0QMV2RVwJwFjSkHa5J +CFG/Dlobt3HJfewFzr9pmPXMuK4nh+8eg6MS4yJ9ZgmrLMAuRcaJ+nUKM6Luf8utcU4/5J0rcYvQ +o2rmjTR6j0B4DNz/bZepZwwIFlDMUInMw3ruGZU5Qot4kUMh8vrrYAHrKULmHaNlUheoFo48fAYp +WqXX5UrA77KqNmkeRmrlB65xuFr5CvsfKY+44fs1mOCo4sRe1XzA4zIrN+6XsMUYQNjtpzVMAXHO +v2z6Qhh2eWIUvw+C+9RWx5OGPnKcgu80uGHuUVFnTRvnG+jX4G4neYI5GYaUJACxRQU5Dc2WRTyW +goiu2gdJlg/K8/0fS9k1TmfdvyhjaEmoMoXsweBmtg0WXgKnGZ5sQy/jodZ2PIhEIbv7gImIqXpu +Ni6dg4OrUKdctXa8/YFL87eM7lcPKbDjaYWzvZDyQn7rzy2ZW5R++r/vs7vDcLt1j66covTEnDAL +g/dUPO5fKDnfsLY2PYAuYEkGR1wF0dJsJ90mzFNKVfJPMW92IHKGMtB/3LnCUPozDWipACX5demi +DJ2jRIqnwAflcqNTaRzveUxIhQvL7lsq+x5suL++QFFT1YXkMgdaxrVtPrVmqOJkOq3MoDAhDZvR +6XXmIYd5uUK3HLUTwKjD8BoBTang/nOmRibHanv+7E1BdDXi3qLNWWgqo6QdXczhU/oG7LhEcnzD +Bto8h7XYTsRH2VTni///YCenjzdHM2BW74pVPy6dluo5wNmV2/oPKw210EQauiBNunS3WgedXoEn +mw5+mCZy7hJ+y+H9DVONWZzIe8JgA6QSDfVeAw8Ro0SktkoP2q42zph/r+6Co7UcAdzUUaKM29RO +jBe/4FEZbPc/heoAPzK/I1iruL5oZKjsUYsEmAnhBBdkgIjG/vGC6nYPjIZXMzLGpFN7OJwxiQ/w +2GWqDpoJ7cbbyDa6wAkelhZDkxlSuzPLSCn7wc7Ef/MWf3FQNvY7i8pI0i3jK7GEuLmixPMP+oKW +be+OL1s79m83t59UbOfmTyVhwIqmQntu4zrpJ6Z5y8wzUZn40vz2x326KWcE02aLBuNAmb6Stlwy +NphHfyOAV+OYCUJw4xsyi5R6hiDq0jnomsuFeuN4Ife3K+bUl545LOexQqjKRacif/iTWeWONu2z +QG7YG/4Mkm1gzs31ABfIF39teyI299Rsjj/0h4vrnZCGgF8g5kGs2k47ZkZYkVL1W4VOAIVwq0RD +OxBKDx6YOJJ6iuas8psYWIltdwioPsDaX3n+4N42XLO2YLlVjLxFtzRcTm6FCRTKwvj3vMtjl/Ti +JdRwY9b0/x3/mCCex+auw0mJ7uWaUT8MGGdK0g1Kzf6JcatCC5yxe9OI6L/3WY2lHIr1HThenn4E +lohkgetLm7t83Jyvh9Zyc7iqFCXfys4vytWrat8xgiaCR56ZORzI/8AtTXGKEPVAVtAk7cL9aSZD +YocCGRnyEU/dG8CIqxptnXLptwT0H+LWVnP8dX6hMC9BvTDzlxbC7LbQC9J1Lj7ZnT/GrcxJ2v7r +C4wlkPUFGWXBx63wuCM/dXEhga8X6VibcfyJ1GLplJZkfJgjN3yT/hNQ4RjQ7Qzm8RdC953lwYto +rE9838zlZuCsyp1LOo+1Q1waS4pFk++Bi1qb1WKKrJy+3tSoSTMaWnJzpduaFEapTEHXPNHk9g22 +Sjyx4oHL7dkl2BDXH5vpzu46GxrwiRWxyLG905mZ7VIb0EqA1SofQiy+hil6Ru08r+h8OGfL7jQD +XHBirEDZ/LCqfdaUF2UdIdi04S+BjhrwZwIH7Q3yhtWV7oTTPhvu2Ow1SFWsQn9468VOcXo3PNdC +856kHgciccU= `pragma protect end_protected module gw_gao ( tms_pad_i, @@ -1538,9 +1521,10 @@ wire shift_dr_capture_dr; wire pause_dr; wire enable_er1; wire enable_er2; +wire n33_5; wire n33_6; wire n12_6; -wire n639_5; +wire n639_7; wire [5:0] control0; wire [4:2] control0_0; wire [3:0] module_id_reg; @@ -1568,7 +1552,7 @@ wire GND; .tck_pad_i(tck_i_c), .tms_pad_i(tms_i_c), .tdi_pad_i(tdi_i_c), - .tdo_er1_i(n639_5), + .tdo_er1_i(n639_7), .tdo_er2_i(GND), .tdo_pad_o(tdo_o_c), .tck_o(control0[0]), @@ -1590,6 +1574,7 @@ wire GND; .control0_0(control0[0]), .control0_5(control0[5]), .module_state(module_state[2:0]), + .n33_5(n33_5), .n33_6(n33_6), .n12_6(n12_6), .control0_2(control0_0[2]), @@ -1601,6 +1586,7 @@ wire GND; .clk_d(clk_d), .shift_dr_capture_dr(shift_dr_capture_dr), .n33_6(n33_6), + .n33_5(n33_5), .de_Z(de_Z), .control0_2(control0_0[2]), .control0_4(control0_0[4]), @@ -1610,7 +1596,7 @@ wire GND; .blue_Z(blue_Z[7]), .green_Z(green_Z[7]), .red_Z(red_Z[7]), - .n639_5(n639_5), + .n639_7(n639_7), .module_state(module_state[2:0]) ); VCC VCC_cZ ( @@ -1644,6 +1630,7 @@ wire n13_4; wire frame_4; wire n132_4; wire n45_14; +wire n45_15; wire n73_8; wire n73_9; wire n73_10; @@ -1651,17 +1638,17 @@ wire n73_11; wire n14_5; wire n14_6; wire n13_5; -wire n13_6; wire frame_5; wire n132_5; wire n132_6; -wire n45_15; +wire n45_16; +wire n45_17; +wire n45_18; wire n14_7; -wire n14_8; -wire n14_9; -wire n13_7; -wire n13_8; wire n132_7; +wire n132_8; +wire n132_9; +wire n14_8; wire n114_2; wire n114_3; wire n113_2; @@ -1729,13 +1716,14 @@ wire [15:0] x; wire [15:0] y; wire VCC; wire GND; - LUT3 n45_s10 ( + LUT4 n45_s10 ( .F(n45_13), - .I0(x[2]), - .I1(x[3]), - .I2(n45_14) + .I0(x[4]), + .I1(n45_14), + .I2(x[5]), + .I3(n45_15) ); -defparam n45_s10.INIT=8'h10; +defparam n45_s10.INIT=16'h4000; LUT4 n73_s4 ( .F(n73_7), .I0(n73_8), @@ -1755,80 +1743,84 @@ defparam n14_s1.INIT=16'h7FFF; LUT2 n13_s1 ( .F(n13_4), .I0(n13_5), - .I1(n13_6) + .I1(n45_15) ); defparam n13_s1.INIT=4'hB; LUT4 frame_s2 ( .F(frame_4), - .I0(y[2]), - .I1(y[3]), - .I2(n14_6), - .I3(frame_5) + .I0(frame_5), + .I1(y[0]), + .I2(y[1]), + .I3(n14_6) ); -defparam frame_s2.INIT=16'hEFFF; +defparam frame_s2.INIT=16'h7FFF; LUT4 n132_s1 ( .F(n132_4), - .I0(y[9]), - .I1(y[8]), - .I2(n132_5), + .I0(n132_5), + .I1(y[0]), + .I2(n73_7), .I3(n132_6) ); -defparam n132_s1.INIT=16'h4000; - LUT4 n45_s11 ( +defparam n132_s1.INIT=16'h8000; + LUT3 n45_s11 ( .F(n45_14), - .I0(x[0]), - .I1(x[1]), - .I2(n45_15), - .I3(n13_6) + .I0(x[7]), + .I1(x[6]), + .I2(n45_16) +); +defparam n45_s11.INIT=8'h40; + LUT4 n45_s12 ( + .F(n45_15), + .I0(n45_17), + .I1(x[12]), + .I2(x[13]), + .I3(n45_18) ); -defparam n45_s11.INIT=16'h1000; +defparam n45_s12.INIT=16'h8000; LUT4 n73_s5 ( .F(n73_8), - .I0(x[12]), - .I1(x[13]), - .I2(x[14]), - .I3(x[15]) + .I0(x[8]), + .I1(x[10]), + .I2(x[11]), + .I3(x[9]) ); -defparam n73_s5.INIT=16'h0001; +defparam n73_s5.INIT=16'h0100; LUT4 n73_s6 ( .F(n73_9), - .I0(x[0]), - .I1(x[1]), - .I2(x[2]), - .I3(x[3]) -); -defparam n73_s6.INIT=16'h8000; - LUT4 n73_s7 ( - .F(n73_10), .I0(x[7]), .I1(x[5]), .I2(x[6]), .I3(x[4]) ); -defparam n73_s7.INIT=16'h4000; +defparam n73_s6.INIT=16'h4000; + LUT4 n73_s7 ( + .F(n73_10), + .I0(x[12]), + .I1(x[13]), + .I2(x[14]), + .I3(x[15]) +); +defparam n73_s7.INIT=16'h0001; LUT4 n73_s8 ( .F(n73_11), - .I0(x[8]), - .I1(x[10]), - .I2(x[11]), - .I3(x[9]) + .I0(x[0]), + .I1(x[1]), + .I2(x[2]), + .I3(x[3]) ); -defparam n73_s8.INIT=16'h0100; - LUT3 n14_s2 ( +defparam n73_s8.INIT=16'h8000; + LUT2 n14_s2 ( .F(n14_5), .I0(y[0]), - .I1(y[1]), - .I2(n14_7) + .I1(y[1]) ); -defparam n14_s2.INIT=8'h60; - LUT4 n14_s3 ( +defparam n14_s2.INIT=4'h6; + LUT2 n14_s3 ( .F(n14_6), - .I0(n14_8), - .I1(y[12]), - .I2(y[13]), - .I3(n14_9) + .I0(n132_6), + .I1(n14_7) ); -defparam n14_s3.INIT=16'h8000; +defparam n14_s3.INIT=4'h8; LUT4 n13_s2 ( .F(n13_5), .I0(x[6]), @@ -1837,88 +1829,88 @@ defparam n14_s3.INIT=16'h8000; .I3(x[7]) ); defparam n13_s2.INIT=16'hA87F; - LUT4 n13_s3 ( - .F(n13_6), - .I0(n13_7), - .I1(x[12]), - .I2(x[13]), - .I3(n13_8) -); -defparam n13_s3.INIT=16'h8000; - LUT3 frame_s3 ( + LUT2 frame_s3 ( .F(frame_5), - .I0(y[0]), - .I1(y[1]), - .I2(n14_7) + .I0(y[2]), + .I1(y[3]) ); -defparam frame_s3.INIT=8'h80; - LUT3 n132_s2 ( +defparam frame_s3.INIT=4'h1; + LUT4 n132_s2 ( .F(n132_5), - .I0(y[10]), - .I1(y[11]), - .I2(n73_7) + .I0(y[15]), + .I1(y[1]), + .I2(n132_7), + .I3(n132_8) ); -defparam n132_s2.INIT=8'h10; +defparam n132_s2.INIT=16'h4000; LUT4 n132_s3 ( .F(n132_6), - .I0(n132_7), - .I1(y[2]), - .I2(y[3]), - .I3(frame_5) -); -defparam n132_s3.INIT=16'h8000; - LUT4 n45_s12 ( - .F(n45_15), - .I0(x[4]), - .I1(x[7]), - .I2(x[6]), - .I3(x[5]) -); -defparam n45_s12.INIT=16'h1000; - LUT4 n14_s4 ( - .F(n14_7), .I0(y[5]), .I1(y[4]), - .I2(y[6]), - .I3(y[7]) + .I2(n132_9), + .I3(y[6]) ); -defparam n14_s4.INIT=16'h4000; - LUT2 n14_s5 ( - .F(n14_8), - .I0(y[14]), - .I1(y[15]) -); -defparam n14_s5.INIT=4'h8; - LUT4 n14_s6 ( - .F(n14_9), - .I0(y[8]), - .I1(y[9]), - .I2(y[10]), - .I3(y[11]) +defparam n132_s3.INIT=16'h4000; + LUT4 n45_s13 ( + .F(n45_16), + .I0(x[0]), + .I1(x[1]), + .I2(x[2]), + .I3(x[3]) ); -defparam n14_s6.INIT=16'h8000; - LUT2 n13_s4 ( - .F(n13_7), +defparam n45_s13.INIT=16'h0001; + LUT2 n45_s14 ( + .F(n45_17), .I0(x[14]), .I1(x[15]) ); -defparam n13_s4.INIT=4'h8; - LUT4 n13_s5 ( - .F(n13_8), +defparam n45_s14.INIT=4'h8; + LUT4 n45_s15 ( + .F(n45_18), .I0(x[8]), .I1(x[9]), .I2(x[10]), .I3(x[11]) ); -defparam n13_s5.INIT=16'h8000; +defparam n45_s15.INIT=16'h8000; + LUT4 n14_s4 ( + .F(n14_7), + .I0(n14_8), + .I1(y[9]), + .I2(y[10]), + .I3(y[11]) +); +defparam n14_s4.INIT=16'h8000; LUT4 n132_s4 ( .F(n132_7), + .I0(y[11]), + .I1(y[12]), + .I2(y[13]), + .I3(y[14]) +); +defparam n132_s4.INIT=16'h0001; + LUT4 n132_s5 ( + .F(n132_8), + .I0(y[9]), + .I1(y[10]), + .I2(y[2]), + .I3(y[3]) +); +defparam n132_s5.INIT=16'h1000; + LUT2 n132_s6 ( + .F(n132_9), + .I0(y[7]), + .I1(y[8]) +); +defparam n132_s6.INIT=4'h8; + LUT4 n14_s5 ( + .F(n14_8), .I0(y[12]), .I1(y[13]), .I2(y[14]), .I3(y[15]) ); -defparam n132_s4.INIT=16'h0001; +defparam n14_s5.INIT=16'h8000; DFF vsync_s0 ( .Q(vsync_Z), .D(n14_4), @@ -2540,8 +2532,8 @@ defparam n165_s.ALU_MODE=0; endmodule /* display_480p */ module game_loop ( clk_d, - btn2_d, frame_Z, + btn2_d, btn1_d, sx_Z, sy_Z, @@ -2551,14 +2543,34 @@ module game_loop ( ) ; input clk_d; -input btn2_d; input frame_Z; +input btn2_d; input btn1_d; input [9:0] sx_Z; input [9:0] sy_Z; output [7:7] red_Z; output [7:7] green_Z; output [7:7] blue_Z; +wire n7273_13; +wire n7273_14; +wire n7274_13; +wire n7274_14; +wire n7275_13; +wire n7275_14; +wire n7276_10; +wire n7276_11; +wire n7278_13; +wire n7278_14; +wire n7422_13; +wire n7422_14; +wire n7423_13; +wire n7423_14; +wire n7424_13; +wire n7424_14; +wire n7425_10; +wire n7425_11; +wire n7427_13; +wire n7427_14; wire n48_3; wire n187_3; wire n190_3; @@ -2568,372 +2580,668 @@ wire n315_4; wire n316_4; wire n317_5; wire n319_4; -wire n3294_6; -wire n9048_3; -wire n9049_3; -wire n9050_3; -wire n9052_3; -wire n9053_3; -wire n9054_3; -wire n9055_3; -wire n9056_3; -wire n9059_3; -wire n9060_3; -wire n9061_3; -wire n9062_3; -wire n9064_3; -wire n9065_3; -wire n11097_3; -wire n11074_3; +wire n3744_6; +wire n3735_3; +wire n3737_3; +wire n3738_3; +wire n3739_3; +wire n3741_3; +wire n10654_3; +wire n10655_3; +wire n10656_3; +wire n10658_3; +wire n10659_3; +wire n10660_3; +wire n10661_3; +wire n10662_3; +wire n10664_3; +wire n10665_3; +wire n10666_3; +wire n10667_3; +wire n10668_3; +wire n10669_3; +wire n10670_3; +wire n10671_3; +wire n12765_3; +wire n6878_4; +wire n6880_4; +wire n6885_4; +wire n6888_5; +wire n6895_6; +wire n6911_3; +wire n6919_3; +wire n6925_3; +wire n6937_3; +wire n6939_3; +wire n6941_3; +wire n6948_3; +wire n6950_3; +wire n6954_3; +wire n7059_6; +wire n7061_6; +wire n7066_6; +wire n7069_5; +wire n7076_4; +wire n7106_3; +wire n7110_3; +wire n7118_3; +wire n7120_3; +wire n7122_3; +wire n7129_3; +wire n7131_3; +wire n7135_3; +wire n12742_3; +wire n7052; +wire n7053; +wire n7054; +wire n7055; +wire n7056; +wire n6906_5; +wire n6909_5; +wire n6944_5; +wire n6946_5; +wire n6952_5; +wire n6958_5; +wire n6964_5; +wire n6972_5; +wire n7087_5; +wire n7125_5; +wire n7127_5; +wire n7133_5; +wire n7139_5; +wire n7141_5; +wire n7273_17; +wire n7274_17; +wire n7278_17; +wire n7422_17; +wire n7423_17; +wire n7427_17; wire qxb_9_6; wire square_6; wire ball_6; +wire score_area_2_6; wire qx_9_6; wire qyb_6_5; -wire n3741_33; +wire n4207_33; +wire n6847; +wire n7272_106; +wire n7421_101; wire qdy_7; -wire n3710_46; -wire n367_8; +wire n4176_46; wire n366_7; wire n365_7; wire n364_7; wire n362_7; wire n358_8; -wire n3881_5; +wire n7427_19; +wire n7423_19; +wire n7422_19; +wire n7278_19; +wire n7274_19; +wire n7273_19; +wire n7585_5; +wire n4347_5; wire n161_6; wire n160_6; wire n159_6; -wire n155_6; -wire n154_6; +wire n155_19; +wire n154_8; +wire n6843; +wire n7569_5; +wire n7553_5; +wire n6846; +wire n6845; wire n48_4; wire n48_5; wire n187_4; wire n187_5; wire n190_4; -wire n190_5; wire n190_6; wire n191_4; wire n191_5; wire n192_4; wire n192_5; wire n315_5; +wire n315_6; wire n316_5; wire n316_6; -wire n316_7; wire n317_6; +wire n317_7; wire n317_8; -wire n319_5; +wire n317_9; wire n319_6; -wire n3294_7; -wire n3294_8; -wire n3294_9; -wire n9048_4; -wire n9048_5; -wire n9049_4; -wire n9049_5; -wire n9050_4; -wire n9051_4; -wire n9053_4; -wire n9054_4; -wire n9055_4; -wire n9056_4; -wire n9057_4; -wire n9059_4; -wire n9060_4; -wire n9061_4; -wire n9064_4; -wire n9065_4; -wire n11097_4; -wire n11097_5; -wire n11097_6; -wire n11097_7; -wire qxb_9_7; +wire n319_7; +wire n3744_7; +wire n3744_8; +wire n3744_9; +wire n3735_4; +wire n3735_5; +wire n3735_6; +wire n3735_7; +wire n3737_4; +wire n3737_6; +wire n3737_7; +wire n3738_4; +wire n3739_4; +wire n3739_6; +wire n3739_7; +wire n3741_4; +wire n3741_5; +wire n3741_6; +wire n10654_4; +wire n10657_4; +wire n10658_4; +wire n10659_4; +wire n10660_4; +wire n10661_4; +wire n10662_4; +wire n10663_4; +wire n10665_4; +wire n10666_4; +wire n10667_4; +wire n10667_5; +wire n10668_4; +wire n10669_4; +wire n10670_4; +wire n10671_4; +wire n12765_4; +wire n12765_5; +wire n12765_6; +wire n12765_7; +wire n6878_5; +wire n6925_4; +wire n6941_4; +wire n6948_4; +wire n6950_4; +wire n7059_7; +wire n7076_5; +wire n7106_4; +wire n7122_4; +wire n7129_4; +wire n7131_4; +wire n7054_20; +wire n7054_21; +wire n7055_29; +wire n7055_30; +wire n7055_31; +wire n7056_32; +wire n6944_6; +wire n6952_6; +wire n6958_6; +wire n7125_6; wire square_7; -wire square_10; -wire ball_7; +wire square_8; +wire square_9; wire ball_8; wire ball_9; +wire score_area_1_7; +wire score_area_1_8; wire qx_9_7; wire qdx_7; -wire n3741_34; -wire n3741_35; -wire qdy_8; -wire qdy_9; -wire n3710_47; +wire qyb_9_7; +wire n4207_34; +wire n4207_35; +wire n4207_36; +wire n4207_37; +wire n6847_11; +wire n7272_108; +wire n7272_109; +wire n7421_102; +wire n4176_47; wire n367_9; wire n365_8; wire n364_8; wire n362_8; wire n358_9; -wire n3881_6; +wire n4347_6; wire n160_7; wire n159_7; -wire n155_7; +wire n155_20; +wire n6843_22; +wire n6846_12; +wire n6846_13; +wire n6846_14; +wire n6845_12; wire n187_6; +wire n187_7; wire n190_7; wire n190_8; wire n190_9; wire n191_6; -wire n316_8; -wire n317_9; +wire n315_7; +wire n316_7; wire n317_10; -wire n319_7; -wire n3294_10; -wire n3294_11; -wire n3294_12; -wire n3294_13; -wire n3294_14; -wire n3294_15; -wire n3294_16; -wire n9048_6; -wire n9048_7; -wire n9048_8; -wire n9049_6; -wire n9049_7; -wire n9049_8; -wire n9049_9; -wire n9049_10; -wire n9050_6; -wire n9050_7; -wire n9051_5; -wire n9052_5; -wire n9053_5; -wire n9053_6; -wire n9054_5; -wire n9054_6; -wire n9059_5; -wire n9061_5; -wire n11097_8; -wire n11097_9; -wire n11097_10; -wire n11097_13; -wire n11097_14; -wire n11097_15; -wire n11097_16; -wire n11097_17; -wire n11097_18; -wire n11097_19; -wire n11097_20; +wire n3744_10; +wire n3744_12; +wire n3744_13; +wire n3744_14; +wire n3744_17; +wire n3735_8; +wire n3735_12; +wire n3735_13; +wire n3735_14; +wire n3735_17; +wire n3735_18; +wire n3737_10; +wire n3737_14; +wire n3737_15; +wire n3737_16; +wire n3738_5; +wire n3738_6; +wire n3738_7; +wire n3739_8; +wire n3739_10; +wire n3739_12; +wire n3739_13; +wire n3739_14; +wire n3739_15; +wire n3741_8; +wire n3741_11; +wire n3741_12; +wire n3741_13; +wire n3741_14; +wire n10654_5; +wire n10657_5; +wire n10658_5; +wire n10658_6; +wire n10659_5; +wire n10660_5; +wire n10661_6; +wire n10664_5; +wire n10667_6; +wire n10667_7; +wire n10669_5; +wire n10669_6; +wire n10669_7; +wire n12765_8; +wire n12765_9; +wire n12765_10; +wire n12765_11; +wire n12765_12; +wire n12765_13; +wire n12765_14; +wire n12765_15; +wire n12765_16; +wire n12765_17; +wire n12765_18; +wire n12765_19; +wire n7054_22; +wire n7055_32; +wire n7055_33; +wire n7055_34; +wire n7056_33; +wire square_10; wire square_11; wire square_12; wire square_13; +wire ball_11; +wire ball_14; +wire ball_15; +wire score_area_1_9; +wire qx_9_8; +wire qyb_9_8; +wire n4207_38; +wire n4207_40; +wire n7272_110; +wire n7272_111; +wire n7272_112; +wire n7272_113; +wire n7272_114; +wire n7421_103; +wire n7421_104; +wire n4347_7; +wire n4347_8; +wire n4347_9; +wire n155_21; +wire n6843_23; +wire n6843_24; +wire n6846_15; +wire n6846_16; +wire n6846_17; +wire n6846_18; +wire n6846_19; +wire n190_10; +wire n315_9; +wire n3744_18; +wire n3744_19; +wire n3744_20; +wire n3744_21; +wire n3744_22; +wire n3744_23; +wire n3744_24; +wire n3744_25; +wire n3744_27; +wire n3735_19; +wire n3735_20; +wire n3735_23; +wire n3735_24; +wire n3735_26; +wire n3735_27; +wire n3735_28; +wire n3735_31; +wire n3735_32; +wire n3737_17; +wire n3737_18; +wire n3737_20; +wire n3737_21; +wire n3737_22; +wire n3738_8; +wire n3739_16; +wire n3739_17; +wire n3741_15; +wire n3741_16; +wire n3741_17; +wire n3741_19; +wire n3741_20; +wire n3741_21; +wire n3741_22; +wire n3741_23; +wire n10654_7; +wire n10654_8; +wire n10658_7; +wire n10658_8; +wire n10658_9; +wire n10659_7; +wire n10659_8; +wire n10660_6; +wire n10660_7; +wire n10661_7; +wire n10661_8; +wire n10669_8; +wire n10669_9; +wire n12765_20; +wire n12765_21; +wire n12765_22; +wire n12765_23; +wire n12765_24; +wire n12765_25; +wire n12765_26; +wire n12765_27; +wire n7055_35; +wire n7055_36; wire square_14; wire square_15; wire square_16; -wire ball_10; -wire ball_11; -wire ball_12; -wire ball_13; -wire qx_9_8; -wire n3741_36; -wire n3741_37; -wire n3741_38; -wire n3741_39; -wire qdy_10; -wire n3710_48; -wire n3881_7; -wire n155_8; -wire n192_7; -wire n316_9; -wire n3294_17; -wire n3294_18; -wire n3294_19; -wire n3294_20; -wire n3294_22; -wire n3294_23; -wire n3294_24; -wire n3294_25; -wire n3294_26; -wire n3294_27; -wire n3294_28; -wire n3294_29; -wire n3294_30; -wire n3294_31; -wire n3294_32; -wire n3294_33; -wire n3294_34; -wire n3294_35; -wire n9048_9; -wire n9048_10; -wire n9049_11; -wire n9050_8; -wire n9050_9; -wire n9051_6; -wire n9052_6; -wire n9052_7; -wire n9052_8; -wire n9053_7; -wire n9053_8; -wire n9054_7; -wire n9054_8; -wire n9059_6; -wire n11097_21; -wire n11097_22; -wire n11097_23; -wire n11097_24; -wire n11097_25; -wire n11097_26; -wire n11097_27; -wire n11097_28; -wire n11097_29; -wire n11097_30; -wire n11097_31; -wire n11097_32; -wire n11097_33; wire square_17; +wire square_18; +wire square_19; wire square_20; wire square_21; -wire ball_14; -wire n3741_41; -wire n3741_42; -wire n3741_43; -wire n3741_45; -wire n3741_46; -wire n3881_8; -wire n3294_36; -wire n3294_37; -wire n3294_38; -wire n3294_40; -wire n3294_41; -wire n3294_42; -wire n3294_44; -wire n3294_45; -wire n3294_46; -wire n3294_47; -wire n9050_10; -wire n9051_7; -wire n9052_9; -wire n9052_10; -wire n11097_34; -wire n11097_35; -wire n11097_36; -wire n11097_37; -wire n11097_38; -wire n11097_39; -wire n11097_40; -wire square_22; -wire square_23; -wire ball_15; wire ball_16; wire ball_17; -wire n3741_48; -wire n3881_9; -wire n3294_48; -wire n3294_49; -wire n3294_50; -wire n3294_51; -wire n3294_52; -wire n11097_41; -wire n11097_42; -wire n11097_43; -wire n11097_44; -wire n11097_45; -wire n11097_46; -wire square_24; +wire ball_18; wire ball_19; -wire ball_20; -wire n3741_49; -wire n3294_54; -wire n3294_55; -wire n3294_56; -wire n3294_57; -wire n11097_47; -wire square_25; wire ball_21; -wire ball_22; -wire n3741_51; -wire n3294_60; -wire n3294_62; -wire n9052_12; -wire n9057_6; -wire square_27; -wire n11097_49; -wire n11097_51; +wire score_area_1_10; +wire n4207_41; +wire n4207_42; +wire n4207_43; +wire n7272_115; +wire n7272_116; +wire n7272_117; +wire n7272_118; +wire n7421_105; +wire n7421_106; +wire n7421_107; +wire n7421_108; +wire n7421_109; +wire n6843_25; +wire n6843_26; +wire n6846_20; +wire n6846_21; +wire n6846_22; +wire n6846_23; +wire n190_11; +wire n3744_28; +wire n3744_30; +wire n3744_31; +wire n3744_32; +wire n3744_33; +wire n3744_34; +wire n3744_35; +wire n3744_36; +wire n3735_33; +wire n3735_34; +wire n3735_35; +wire n3735_36; +wire n3735_37; +wire n3741_24; +wire n12765_28; +wire n12765_29; +wire n12765_30; +wire n12765_31; +wire n12765_33; +wire n12765_34; +wire n12765_35; +wire square_22; +wire square_23; +wire square_24; +wire ball_23; wire ball_24; -wire n192_9; -wire square_29; -wire n9050_12; -wire n9063_5; -wire n9051_9; +wire ball_25; +wire n4207_49; +wire n4207_50; +wire n4207_51; +wire n4207_54; +wire n7272_119; +wire n7272_120; +wire n7421_110; +wire n7421_111; +wire n7421_112; +wire n7421_113; +wire n6846_24; +wire n3744_37; +wire n3744_38; +wire n3744_39; +wire n3744_40; +wire n3744_42; +wire n3744_43; +wire n3744_44; +wire n3744_45; +wire n3744_46; +wire n3744_47; +wire n3735_38; +wire n12765_38; +wire n12765_39; +wire n12765_40; +wire n12765_41; +wire square_27; +wire square_28; +wire square_30; +wire ball_26; +wire ball_27; +wire n4207_55; +wire n4207_56; +wire n4207_57; +wire n4207_58; +wire n4207_59; +wire n3744_48; +wire n3744_49; +wire n3744_51; +wire n3744_52; +wire n12765_42; +wire n12765_43; wire square_31; -wire n3294_64; +wire square_32; wire square_33; -wire n9058_5; -wire n3741_53; -wire n3741_55; -wire n3741_57; -wire n3741_59; -wire n315_8; -wire n317_12; +wire square_34; +wire ball_30; +wire n4207_60; +wire n4207_61; +wire n4207_62; +wire n3744_53; +wire n3744_54; +wire square_35; +wire square_36; +wire square_37; +wire ball_31; +wire ball_32; +wire n4207_63; +wire n4207_64; +wire n4207_65; +wire n3744_55; +wire n3744_56; +wire ball_33; +wire ball_35; +wire n3735_40; +wire n3744_58; +wire n3736_5; +wire n3735_42; +wire n3739_20; +wire n10654_10; +wire n3741_26; +wire n3738_11; +wire n4207_68; +wire n6927_5; +wire n6960_7; +wire n7108_5; +wire n3744_60; +wire n10661_10; +wire n10663_6; +wire n10664_7; +wire n155_24; +wire n4207_70; +wire n3744_62; +wire n3735_44; +wire n3737_24; +wire n3735_46; +wire n3741_28; +wire n3735_48; +wire n317_13; +wire n315_11; +wire n3744_64; +wire n4207_72; +wire n6923_7; +wire n6929_5; +wire n7066_9; +wire score_area_1_12; +wire ball_37; +wire ball_39; +wire ball_41; +wire n190_13; +wire n191_9; +wire n3741_30; +wire n319_9; +wire n4207_74; +wire n4207_76; +wire n6913_7; +wire n6905_7; +wire n6970_7; +wire n6962_7; +wire n6921_7; +wire n367_11; +wire n7102_7; +wire n4207_78; +wire n4176_50; +wire n7272_122; +wire n12765_45; +wire n12765_47; +wire n12765_49; +wire n3744_66; +wire square_39; +wire n3735_50; +wire n3735_52; +wire n3735_54; +wire n3737_26; +wire n3737_28; +wire n7143_9; +wire n7092_6; +wire n7145_7; +wire n7104_7; +wire n7086_7; +wire n7090_7; +wire n7100_5; wire n162_9; -wire n3294_66; +wire square_41; +wire ball_43; +wire n10659_10; +wire n3737_30; +wire n3739_22; +wire n3737_32; +wire n3737_34; +wire n3737_36; +wire n3739_24; +wire n3744_68; wire n48_1_4; wire n197_12; +wire ball_47; +wire ball_48; +wire n10655_6; +wire n3740_5; +wire n4207_80; +wire n4207_82; +wire n7151_9; +wire n7153_7; +wire n10657_7; +wire n3742_5; +wire qdy_10; +wire ball_50; +wire n7092_8; +wire n7094_7; +wire square_43; wire qdy; wire square; wire ball; wire brick; +wire score_area_1; +wire score_area_2; wire qdx; -wire n3696_21_SUM; -wire n3696_24; -wire n3696_22_SUM; -wire n3696_26; -wire n3696_23_SUM; -wire n3696_28; -wire n3696_24_SUM; -wire n3696_30; -wire n3696_25_SUM; -wire n3696_32; -wire n3696_26_SUM; -wire n3696_34; -wire n3696_27_SUM; -wire n3696_36; -wire n3696_28_SUM; -wire n3696_38; -wire n3696_29_SUM; -wire n3696_40; -wire n3696_30_SUM; -wire n3696_42; -wire n3714_21_SUM; -wire n3714_24; -wire n3714_22_SUM; -wire n3714_26; -wire n3714_23_SUM; -wire n3714_28; -wire n3714_24_SUM; -wire n3714_30; -wire n3714_25_SUM; -wire n3714_32; -wire n3714_26_SUM; -wire n3714_34; -wire n3714_27_SUM; -wire n3714_36; -wire n3714_28_SUM; -wire n3714_38; -wire n3714_29_SUM; -wire n3714_40; -wire n3714_30_SUM; -wire n3714_42; -wire n3728_21_SUM; -wire n3728_24; -wire n3728_22_SUM; -wire n3728_26; -wire n3728_23_SUM; -wire n3728_28; -wire n3728_24_SUM; -wire n3728_30; -wire n3728_25_SUM; -wire n3728_32; -wire n3728_26_SUM; -wire n3728_34; -wire n3728_27_SUM; -wire n3728_36; -wire n3728_28_SUM; -wire n3728_38; -wire n3728_29_SUM; -wire n3728_40; -wire n3728_30_SUM; -wire n3728_42; +wire n4162_21_SUM; +wire n4162_24; +wire n4162_22_SUM; +wire n4162_26; +wire n4162_23_SUM; +wire n4162_28; +wire n4162_24_SUM; +wire n4162_30; +wire n4162_25_SUM; +wire n4162_32; +wire n4162_26_SUM; +wire n4162_34; +wire n4162_27_SUM; +wire n4162_36; +wire n4162_28_SUM; +wire n4162_38; +wire n4162_29_SUM; +wire n4162_40; +wire n4162_30_SUM; +wire n4162_42; +wire n4180_21_SUM; +wire n4180_24; +wire n4180_22_SUM; +wire n4180_26; +wire n4180_23_SUM; +wire n4180_28; +wire n4180_24_SUM; +wire n4180_30; +wire n4180_25_SUM; +wire n4180_32; +wire n4180_26_SUM; +wire n4180_34; +wire n4180_27_SUM; +wire n4180_36; +wire n4180_28_SUM; +wire n4180_38; +wire n4180_29_SUM; +wire n4180_40; +wire n4194_21_SUM; +wire n4194_24; +wire n4194_22_SUM; +wire n4194_26; +wire n4194_23_SUM; +wire n4194_28; +wire n4194_24_SUM; +wire n4194_30; +wire n4194_25_SUM; +wire n4194_32; +wire n4194_26_SUM; +wire n4194_34; +wire n4194_27_SUM; +wire n4194_36; +wire n4194_28_SUM; +wire n4194_38; +wire n4194_29_SUM; +wire n4194_40; wire n83_2; wire n83_1_1; wire n82_2; @@ -2954,12 +3262,190 @@ wire n75_2; wire n75_1_1; wire n74_2; wire n74_1_0_COUT; +wire n7272_84; +wire n7272_86; +wire n7272_88; +wire n7272_90; +wire n7272_92; +wire n7421_79; +wire n7421_81; +wire n7421_83; +wire n7421_85; +wire n7421_87; +wire n7272_94; +wire n7272_96; +wire n7272_98; +wire n7421_89; +wire n7421_91; +wire n7421_93; +wire n7272_100; +wire n7272_102; +wire n7272_104; +wire n7421_95; +wire n7421_97; +wire n7421_99; +wire n6848; wire [9:0] qx; wire [9:0] qxb; wire [9:0] qyb; +wire [7:0] score_counter; +wire [6:1] \score[6] ; +wire [6:1] \score[5] ; +wire [6:4] \score[4] ; +wire [6:1] \score[3] ; +wire [6:1] \score[2] ; +wire [6:1] \score[1] ; +wire [6:6] \score[0] ; +wire [6:1] \score_1[6] ; +wire [6:1] \score_1[5] ; +wire [6:4] \score_1[4] ; +wire [6:1] \score_1[3] ; +wire [6:1] \score_1[2] ; +wire [6:1] \score_1[1] ; +wire [6:6] \score_1[0] ; wire [17:0] bricks_active; wire VCC; wire GND; + LUT3 n7272_s98 ( + .F(n7273_13), + .I0(\score_1[0] [6]), + .I1(\score_1[1] [6]), + .I2(sy_Z[1]) +); +defparam n7272_s98.INIT=8'hCA; + LUT3 n7272_s99 ( + .F(n7273_14), + .I0(\score_1[2] [6]), + .I1(\score_1[3] [6]), + .I2(sy_Z[1]) +); +defparam n7272_s99.INIT=8'hCA; + LUT3 n7272_s100 ( + .F(n7274_13), + .I0(\score_1[1] [6]), + .I1(\score_1[1] [5]), + .I2(sy_Z[1]) +); +defparam n7272_s100.INIT=8'hCA; + LUT3 n7272_s101 ( + .F(n7274_14), + .I0(\score_1[2] [5]), + .I1(\score_1[3] [5]), + .I2(sy_Z[1]) +); +defparam n7272_s101.INIT=8'hCA; + LUT3 n7272_s102 ( + .F(n7275_13), + .I0(\score_1[1] [5]), + .I1(\score_1[1] [4]), + .I2(sy_Z[1]) +); +defparam n7272_s102.INIT=8'hCA; + LUT3 n7272_s103 ( + .F(n7275_14), + .I0(\score_1[2] [4]), + .I1(\score_1[3] [4]), + .I2(sy_Z[1]) +); +defparam n7272_s103.INIT=8'hCA; + LUT3 n7272_s104 ( + .F(n7276_10), + .I0(\score_1[6] [4]), + .I1(\score_1[1] [4]), + .I2(sy_Z[1]) +); +defparam n7272_s104.INIT=8'hCA; + LUT3 n7272_s105 ( + .F(n7276_11), + .I0(\score_1[2] [4]), + .I1(\score_1[3] [3]), + .I2(sy_Z[1]) +); +defparam n7272_s105.INIT=8'hCA; + LUT3 n7272_s106 ( + .F(n7278_13), + .I0(\score_1[0] [6]), + .I1(\score_1[1] [1]), + .I2(sy_Z[1]) +); +defparam n7272_s106.INIT=8'hCA; + LUT3 n7272_s107 ( + .F(n7278_14), + .I0(\score_1[2] [1]), + .I1(\score_1[3] [1]), + .I2(sy_Z[1]) +); +defparam n7272_s107.INIT=8'hCA; + LUT3 n7421_s91 ( + .F(n7422_13), + .I0(\score[0] [6]), + .I1(\score[1] [6]), + .I2(sy_Z[1]) +); +defparam n7421_s91.INIT=8'hCA; + LUT3 n7421_s92 ( + .F(n7422_14), + .I0(\score[2] [6]), + .I1(\score[3] [6]), + .I2(sy_Z[1]) +); +defparam n7421_s92.INIT=8'hCA; + LUT3 n7421_s93 ( + .F(n7423_13), + .I0(\score[1] [6]), + .I1(\score[1] [5]), + .I2(sy_Z[1]) +); +defparam n7421_s93.INIT=8'hCA; + LUT3 n7421_s94 ( + .F(n7423_14), + .I0(\score[2] [5]), + .I1(\score[3] [5]), + .I2(sy_Z[1]) +); +defparam n7421_s94.INIT=8'hCA; + LUT3 n7421_s95 ( + .F(n7424_13), + .I0(\score[1] [5]), + .I1(\score[1] [4]), + .I2(sy_Z[1]) +); +defparam n7421_s95.INIT=8'hCA; + LUT3 n7421_s96 ( + .F(n7424_14), + .I0(\score[2] [4]), + .I1(\score[3] [4]), + .I2(sy_Z[1]) +); +defparam n7421_s96.INIT=8'hCA; + LUT3 n7421_s97 ( + .F(n7425_10), + .I0(\score[6] [4]), + .I1(\score[1] [4]), + .I2(sy_Z[1]) +); +defparam n7421_s97.INIT=8'hCA; + LUT3 n7421_s98 ( + .F(n7425_11), + .I0(\score[2] [4]), + .I1(\score[3] [3]), + .I2(sy_Z[1]) +); +defparam n7421_s98.INIT=8'hCA; + LUT3 n7421_s99 ( + .F(n7427_13), + .I0(\score[0] [6]), + .I1(\score[1] [1]), + .I2(sy_Z[1]) +); +defparam n7421_s99.INIT=8'hCA; + LUT3 n7421_s100 ( + .F(n7427_14), + .I0(\score[2] [1]), + .I1(\score[3] [1]), + .I2(sy_Z[1]) +); +defparam n7421_s100.INIT=8'hCA; LUT4 n48_s0 ( .F(n48_3), .I0(n48_4), @@ -2971,212 +3457,619 @@ defparam n48_s0.INIT=16'h4F00; LUT4 n187_s0 ( .F(n187_3), .I0(n187_4), - .I1(qxb[8]), - .I2(n187_5), - .I3(qxb[9]) + .I1(qxb[9]), + .I2(qxb[8]), + .I3(n187_5) ); -defparam n187_s0.INIT=16'hFD04; +defparam n187_s0.INIT=16'hE0EC; LUT4 n190_s0 ( .F(n190_3), .I0(n190_4), - .I1(n190_5), - .I2(n190_6), - .I3(qdx) + .I1(qxb[6]), + .I2(n190_13), + .I3(n190_6) ); -defparam n190_s0.INIT=16'h0777; +defparam n190_s0.INIT=16'h007D; LUT4 n191_s0 ( .F(n191_3), - .I0(n190_5), - .I1(qxb[5]), - .I2(n191_4), - .I3(n191_5) + .I0(n191_4), + .I1(qdx), + .I2(n191_5), + .I3(n190_4) ); -defparam n191_s0.INIT=16'h007D; +defparam n191_s0.INIT=16'hB0BB; LUT4 n192_s0 ( .F(n192_3), - .I0(n192_4), - .I1(n190_5), - .I2(n192_5), - .I3(qxb[4]) + .I0(n190_4), + .I1(qxb[4]), + .I2(n192_4), + .I3(n192_5) ); -defparam n192_s0.INIT=16'h07B0; +defparam n192_s0.INIT=16'h007D; LUT4 n315_s1 ( .F(n315_4), - .I0(qyb[9]), - .I1(qdy), - .I2(n315_5), - .I3(qyb[8]) + .I0(qdy), + .I1(n315_5), + .I2(qyb[8]), + .I3(n315_6) ); -defparam n315_s1.INIT=16'h3FA3; +defparam n315_s1.INIT=16'h13F1; LUT4 n316_s1 ( .F(n316_4), .I0(n316_5), - .I1(qdy), - .I2(n316_6), - .I3(n316_7) + .I1(n316_6), + .I2(qdy), + .I3(qyb[7]) ); -defparam n316_s1.INIT=16'h3F40; +defparam n316_s1.INIT=16'h3545; LUT4 n317_s2 ( .F(n317_5), .I0(n317_6), - .I1(qdy), - .I2(n317_12), - .I3(n317_8) + .I1(n317_7), + .I2(n317_8), + .I3(n317_9) ); -defparam n317_s2.INIT=16'h7077; +defparam n317_s2.INIT=16'hF7F8; LUT4 n319_s1 ( .F(n319_4), - .I0(n317_8), - .I1(qyb[4]), - .I2(n319_5), - .I3(n319_6) -); -defparam n319_s1.INIT=16'h007D; - LUT4 n3294_s3 ( - .F(n3294_6), - .I0(n3294_7), - .I1(n3294_8), - .I2(n3294_9), + .I0(n319_9), + .I1(qdy), + .I2(n319_6), + .I3(n319_7) +); +defparam n319_s1.INIT=16'h403F; + LUT4 n3744_s3 ( + .F(n3744_6), + .I0(n3744_7), + .I1(n3744_8), + .I2(n3744_9), .I3(qdy) ); -defparam n3294_s3.INIT=16'h00BF; - LUT3 n9048_s0 ( - .F(n9048_3), +defparam n3744_s3.INIT=16'h00BF; + LUT4 n3735_s0 ( + .F(n3735_3), + .I0(n3735_4), + .I1(n3735_5), + .I2(n3735_6), + .I3(n3735_7) +); +defparam n3735_s0.INIT=16'h25C3; + LUT4 n3737_s0 ( + .F(n3737_3), + .I0(n3737_4), + .I1(n3737_28), + .I2(n3737_6), + .I3(n3737_7) +); +defparam n3737_s0.INIT=16'h0EF1; + LUT4 n3738_s0 ( + .F(n3738_3), + .I0(n3737_6), + .I1(n3737_4), + .I2(n3737_28), + .I3(n3738_4) +); +defparam n3738_s0.INIT=16'h6996; + LUT4 n3739_s0 ( + .F(n3739_3), + .I0(n3739_4), + .I1(n3739_20), + .I2(n3739_6), + .I3(n3739_7) +); +defparam n3739_s0.INIT=16'h07D2; + LUT4 n3741_s0 ( + .F(n3741_3), + .I0(n3741_4), + .I1(n3741_5), + .I2(n3741_6), + .I3(n3741_28) +); +defparam n3741_s0.INIT=16'h5AC3; + LUT2 n10654_s0 ( + .F(n10654_3), .I0(frame_Z), - .I1(n9048_4), - .I2(n9048_5) + .I1(n10654_4) ); -defparam n9048_s0.INIT=8'h08; - LUT4 n9049_s0 ( - .F(n9049_3), - .I0(bricks_active[16]), - .I1(frame_Z), - .I2(n9049_4), - .I3(n9049_5) -); -defparam n9049_s0.INIT=16'h8000; - LUT4 n9050_s0 ( - .F(n9050_3), - .I0(bricks_active[15]), - .I1(n9049_5), - .I2(n9050_4), - .I3(n9050_12) -); -defparam n9050_s0.INIT=16'h8000; - LUT3 n9052_s0 ( - .F(n9052_3), - .I0(bricks_active[13]), - .I1(n9049_5), - .I2(n9052_12) -); -defparam n9052_s0.INIT=8'h80; - LUT3 n9053_s0 ( - .F(n9053_3), +defparam n10654_s0.INIT=4'h8; + LUT2 n10655_s0 ( + .F(n10655_3), .I0(frame_Z), - .I1(n9053_4), - .I2(n9049_5) + .I1(n10655_6) ); -defparam n9053_s0.INIT=8'h80; - LUT3 n9054_s0 ( - .F(n9054_3), +defparam n10655_s0.INIT=4'h8; + LUT2 n10656_s0 ( + .F(n10656_3), .I0(frame_Z), - .I1(n9048_5), - .I2(n9054_4) + .I1(n3741_4) ); -defparam n9054_s0.INIT=8'h20; - LUT4 n9055_s0 ( - .F(n9055_3), - .I0(bricks_active[10]), - .I1(frame_Z), - .I2(n9049_4), - .I3(n9055_4) -); -defparam n9055_s0.INIT=16'h8000; - LUT2 n9056_s0 ( - .F(n9056_3), - .I0(n9056_4), - .I1(n9050_12) -); -defparam n9056_s0.INIT=4'h8; - LUT2 n9059_s0 ( - .F(n9059_3), +defparam n10656_s0.INIT=4'h8; + LUT2 n10658_s0 ( + .F(n10658_3), + .I0(frame_Z), + .I1(n10658_4) +); +defparam n10658_s0.INIT=4'h8; + LUT2 n10659_s0 ( + .F(n10659_3), + .I0(frame_Z), + .I1(n10659_4) +); +defparam n10659_s0.INIT=4'h8; + LUT2 n10660_s0 ( + .F(n10660_3), + .I0(frame_Z), + .I1(n10660_4) +); +defparam n10660_s0.INIT=4'h8; + LUT2 n10661_s0 ( + .F(n10661_3), .I0(frame_Z), - .I1(n9059_4) + .I1(n10661_4) ); -defparam n9059_s0.INIT=4'h8; - LUT3 n9060_s0 ( - .F(n9060_3), +defparam n10661_s0.INIT=4'h8; + LUT2 n10662_s0 ( + .F(n10662_3), .I0(frame_Z), - .I1(n9060_4), - .I2(n9048_5) + .I1(n10662_4) ); -defparam n9060_s0.INIT=8'h08; - LUT4 n9061_s0 ( - .F(n9061_3), +defparam n10662_s0.INIT=4'h8; + LUT2 n10664_s0 ( + .F(n10664_3), + .I0(frame_Z), + .I1(n10664_7) +); +defparam n10664_s0.INIT=4'h8; + LUT2 n10665_s0 ( + .F(n10665_3), + .I0(frame_Z), + .I1(n10665_4) +); +defparam n10665_s0.INIT=4'h8; + LUT2 n10666_s0 ( + .F(n10666_3), + .I0(frame_Z), + .I1(n10666_4) +); +defparam n10666_s0.INIT=4'h8; + LUT4 n10667_s0 ( + .F(n10667_3), .I0(bricks_active[4]), .I1(frame_Z), - .I2(n9049_4), - .I3(n9061_4) -); -defparam n9061_s0.INIT=16'h8000; - LUT4 n9062_s0 ( - .F(n9062_3), - .I0(bricks_active[3]), - .I1(n9061_4), - .I2(n9050_4), - .I3(n9050_12) -); -defparam n9062_s0.INIT=16'h8000; - LUT2 n9064_s0 ( - .F(n9064_3), - .I0(n9064_4), - .I1(n9052_12) -); -defparam n9064_s0.INIT=4'h8; - LUT2 n9065_s0 ( - .F(n9065_3), + .I2(n10667_4), + .I3(n10667_5) +); +defparam n10667_s0.INIT=16'h8000; + LUT2 n10668_s0 ( + .F(n10668_3), + .I0(frame_Z), + .I1(n10668_4) +); +defparam n10668_s0.INIT=4'h8; + LUT2 n10669_s0 ( + .F(n10669_3), + .I0(frame_Z), + .I1(n10669_4) +); +defparam n10669_s0.INIT=4'h8; + LUT2 n10670_s0 ( + .F(n10670_3), + .I0(frame_Z), + .I1(n10670_4) +); +defparam n10670_s0.INIT=4'h8; + LUT2 n10671_s0 ( + .F(n10671_3), .I0(frame_Z), - .I1(n9065_4) -); -defparam n9065_s0.INIT=4'h8; - LUT4 n11097_s0 ( - .F(n11097_3), - .I0(n11097_4), - .I1(n11097_5), - .I2(n11097_6), - .I3(n11097_7) -); -defparam n11097_s0.INIT=16'hFFF4; - LUT2 n11074_s0 ( - .F(n11074_3), - .I0(square), - .I1(ball) -); -defparam n11074_s0.INIT=4'hE; - LUT4 qxb_9_s2 ( + .I1(n10671_4) +); +defparam n10671_s0.INIT=4'h8; + LUT4 n12765_s0 ( + .F(n12765_3), + .I0(n12765_4), + .I1(n12765_5), + .I2(n12765_6), + .I3(n12765_7) +); +defparam n12765_s0.INIT=16'hFFF4; + LUT4 n6878_s1 ( + .F(n6878_4), + .I0(n6847), + .I1(n6846), + .I2(n6848), + .I3(n6878_5) +); +defparam n6878_s1.INIT=16'h1000; + LUT4 n6880_s1 ( + .F(n6880_4), + .I0(n6848), + .I1(n6846), + .I2(n6847), + .I3(n6878_5) +); +defparam n6880_s1.INIT=16'h1000; + LUT4 n6885_s1 ( + .F(n6885_4), + .I0(n6848), + .I1(n6847), + .I2(n6846), + .I3(n6878_5) +); +defparam n6885_s1.INIT=16'h1000; + LUT4 n6888_s2 ( + .F(n6888_5), + .I0(n6847), + .I1(n6848), + .I2(n6846), + .I3(n6878_5) +); +defparam n6888_s2.INIT=16'h4000; + LUT4 n6895_s3 ( + .F(n6895_6), + .I0(n6848), + .I1(n6847), + .I2(n6846), + .I3(n6878_5) +); +defparam n6895_s3.INIT=16'h8000; + LUT3 n6911_s0 ( + .F(n6911_3), + .I0(n6878_4), + .I1(n6880_4), + .I2(n6885_4) +); +defparam n6911_s0.INIT=8'hFE; + LUT3 n6919_s0 ( + .F(n6919_3), + .I0(n6878_4), + .I1(n6885_4), + .I2(n6895_6) +); +defparam n6919_s0.INIT=8'hFE; + LUT2 n6925_s0 ( + .F(n6925_3), + .I0(n6848), + .I1(n6925_4) +); +defparam n6925_s0.INIT=4'h4; + LUT4 n6937_s0 ( + .F(n6937_3), + .I0(n6846), + .I1(n6847), + .I2(n6848), + .I3(n6878_5) +); +defparam n6937_s0.INIT=16'h0B00; + LUT3 n6939_s0 ( + .F(n6939_3), + .I0(n6845), + .I1(n6848), + .I2(n6925_4) +); +defparam n6939_s0.INIT=8'hB0; + LUT2 n6941_s0 ( + .F(n6941_3), + .I0(n6941_4), + .I1(n6843) +); +defparam n6941_s0.INIT=4'h1; + LUT3 n6948_s0 ( + .F(n6948_3), + .I0(n6948_4), + .I1(n6847), + .I2(n6843) +); +defparam n6948_s0.INIT=8'h01; + LUT2 n6950_s0 ( + .F(n6950_3), + .I0(n6950_4), + .I1(n6843) +); +defparam n6950_s0.INIT=4'h1; + LUT4 n6954_s0 ( + .F(n6954_3), + .I0(n6846), + .I1(n6848), + .I2(n6847), + .I3(n6878_5) +); +defparam n6954_s0.INIT=16'h0E00; + LUT4 n7059_s3 ( + .F(n7059_6), + .I0(n7056), + .I1(n7052), + .I2(n7055), + .I3(n7059_7) +); +defparam n7059_s3.INIT=16'h1000; + LUT4 n7061_s3 ( + .F(n7061_6), + .I0(n7055), + .I1(n7052), + .I2(n7056), + .I3(n7059_7) +); +defparam n7061_s3.INIT=16'h1000; + LUT2 n7066_s3 ( + .F(n7066_6), + .I0(n7056), + .I1(n7066_9) +); +defparam n7066_s3.INIT=4'h8; + LUT2 n7069_s2 ( + .F(n7069_5), + .I0(n7056), + .I1(n7066_9) +); +defparam n7069_s2.INIT=4'h4; + LUT3 n7076_s1 ( + .F(n7076_4), + .I0(n7056), + .I1(n7055), + .I2(n7076_5) +); +defparam n7076_s1.INIT=8'h10; + LUT2 n7106_s0 ( + .F(n7106_3), + .I0(n7056), + .I1(n7106_4) +); +defparam n7106_s0.INIT=4'h8; + LUT3 n7110_s0 ( + .F(n7110_3), + .I0(n7061_6), + .I1(n7076_4), + .I2(n7092_6) +); +defparam n7110_s0.INIT=8'hEF; + LUT3 n7118_s0 ( + .F(n7118_3), + .I0(n7053), + .I1(n7056), + .I2(n7106_4) +); +defparam n7118_s0.INIT=8'h80; + LUT3 n7120_s0 ( + .F(n7120_3), + .I0(n7053), + .I1(n7056), + .I2(n7106_4) +); +defparam n7120_s0.INIT=8'hD0; + LUT2 n7122_s0 ( + .F(n7122_3), + .I0(n7122_4), + .I1(n7052) +); +defparam n7122_s0.INIT=4'h1; + LUT3 n7129_s0 ( + .F(n7129_3), + .I0(n7129_4), + .I1(n7052), + .I2(n7055) +); +defparam n7129_s0.INIT=8'h10; + LUT2 n7131_s0 ( + .F(n7131_3), + .I0(n7131_4), + .I1(n7052) +); +defparam n7131_s0.INIT=4'h1; + LUT2 n7135_s0 ( + .F(n7135_3), + .I0(n7059_6), + .I1(n7066_9) +); +defparam n7135_s0.INIT=4'hE; + LUT2 n12742_s0 ( + .F(n12742_3), + .I0(ball), + .I1(square) +); +defparam n12742_s0.INIT=4'hE; + LUT3 n7052_s10 ( + .F(n7052), + .I0(score_counter[5]), + .I1(score_counter[6]), + .I2(score_counter[7]) +); +defparam n7052_s10.INIT=8'hE0; + LUT4 n7053_s12 ( + .F(n7053), + .I0(score_counter[4]), + .I1(score_counter[7]), + .I2(score_counter[5]), + .I3(score_counter[6]) +); +defparam n7053_s12.INIT=16'h4DF3; + LUT4 n7054_s12 ( + .F(n7054), + .I0(n7054_20), + .I1(score_counter[7]), + .I2(score_counter[5]), + .I3(n7054_21) +); +defparam n7054_s12.INIT=16'hEB28; + LUT4 n7055_s19 ( + .F(n7055), + .I0(score_counter[2]), + .I1(n7055_29), + .I2(n7055_30), + .I3(n7055_31) +); +defparam n7055_s19.INIT=16'h00F1; + LUT4 n7056_s20 ( + .F(n7056), + .I0(n7055), + .I1(n7055_31), + .I2(n7055_30), + .I3(n7056_32) +); +defparam n7056_s20.INIT=16'hA300; + LUT4 n6906_s1 ( + .F(n6906_5), + .I0(n6846), + .I1(n6847), + .I2(n6845), + .I3(n6843) +); +defparam n6906_s1.INIT=16'h001F; + LUT2 n6909_s1 ( + .F(n6909_5), + .I0(n6895_6), + .I1(n6906_5) +); +defparam n6909_s1.INIT=4'h4; + LUT2 n6944_s1 ( + .F(n6944_5), + .I0(n6944_6), + .I1(n6843) +); +defparam n6944_s1.INIT=4'h2; + LUT2 n6946_s1 ( + .F(n6946_5), + .I0(n6878_4), + .I1(n6906_5) +); +defparam n6946_s1.INIT=4'h4; + LUT2 n6952_s1 ( + .F(n6952_5), + .I0(n6843), + .I1(n6952_6) +); +defparam n6952_s1.INIT=4'h4; + LUT2 n6958_s1 ( + .F(n6958_5), + .I0(n6843), + .I1(n6958_6) +); +defparam n6958_s1.INIT=4'h4; + LUT2 n6964_s1 ( + .F(n6964_5), + .I0(n6885_4), + .I1(n6906_5) +); +defparam n6964_s1.INIT=4'h4; + LUT2 n6972_s1 ( + .F(n6972_5), + .I0(n6954_3), + .I1(n6906_5) +); +defparam n6972_s1.INIT=4'h4; + LUT4 n7087_s1 ( + .F(n7087_5), + .I0(n7054), + .I1(n7055), + .I2(n7053), + .I3(n7052) +); +defparam n7087_s1.INIT=16'h00F8; + LUT2 n7125_s1 ( + .F(n7125_5), + .I0(n7052), + .I1(n7125_6) +); +defparam n7125_s1.INIT=4'h1; + LUT2 n7127_s1 ( + .F(n7127_5), + .I0(n7059_6), + .I1(n7087_5) +); +defparam n7127_s1.INIT=4'h4; + LUT4 n7133_s1 ( + .F(n7133_5), + .I0(n7059_7), + .I1(n7055), + .I2(n7056), + .I3(n7106_4) +); +defparam n7133_s1.INIT=16'hF400; + LUT4 n7139_s1 ( + .F(n7139_5), + .I0(n7055), + .I1(n7076_5), + .I2(n7056), + .I3(n7127_5) +); +defparam n7139_s1.INIT=16'hBF00; + LUT2 n7141_s1 ( + .F(n7141_5), + .I0(n7066_9), + .I1(n7139_5) +); +defparam n7141_s1.INIT=4'h4; + LUT3 n7272_s108 ( + .F(n7273_17), + .I0(\score_1[5] [6]), + .I1(\score_1[4] [6]), + .I2(sy_Z[1]) +); +defparam n7272_s108.INIT=8'hAC; + LUT3 n7272_s110 ( + .F(n7274_17), + .I0(\score_1[4] [5]), + .I1(\score_1[5] [6]), + .I2(sy_Z[1]) +); +defparam n7272_s110.INIT=8'hCA; + LUT3 n7272_s112 ( + .F(n7278_17), + .I0(\score_1[5] [2]), + .I1(\score_1[5] [1]), + .I2(sy_Z[1]) +); +defparam n7272_s112.INIT=8'hCA; + LUT3 n7421_s101 ( + .F(n7422_17), + .I0(\score[4] [6]), + .I1(\score[5] [6]), + .I2(sy_Z[1]) +); +defparam n7421_s101.INIT=8'hCA; + LUT3 n7421_s103 ( + .F(n7423_17), + .I0(\score[4] [5]), + .I1(\score[5] [6]), + .I2(sy_Z[1]) +); +defparam n7421_s103.INIT=8'hCA; + LUT3 n7421_s105 ( + .F(n7427_17), + .I0(\score[5] [2]), + .I1(\score[5] [1]), + .I2(sy_Z[1]) +); +defparam n7421_s105.INIT=8'hCA; + LUT3 qxb_9_s2 ( .F(qxb_9_6), - .I0(n3294_7), - .I1(n317_8), - .I2(qxb_9_7), - .I3(frame_Z) + .I0(n3744_7), + .I1(frame_Z), + .I2(qyb_6_5) ); -defparam qxb_9_s2.INIT=16'hBF00; +defparam qxb_9_s2.INIT=8'hC8; LUT4 square_s2 ( .F(square_6), .I0(square_7), - .I1(square_31), - .I2(square_27), - .I3(square_10) + .I1(sx_Z[9]), + .I2(square_8), + .I3(square_9) ); -defparam square_s2.INIT=16'h0EFF; +defparam square_s2.INIT=16'hE8FF; LUT4 ball_s2 ( .F(ball_6), - .I0(ball_7), + .I0(ball_35), .I1(ball_8), .I2(ball_9), - .I3(n3714_42) + .I3(ball_47) ); -defparam ball_s2.INIT=16'h4FFF; +defparam ball_s2.INIT=16'h8EFF; + LUT3 score_area_2_s2 ( + .F(score_area_2_6), + .I0(sx_Z[5]), + .I1(sx_Z[4]), + .I2(score_area_1_8) +); +defparam score_area_2_s2.INIT=8'hBF; LUT4 qx_9_s2 ( .F(qx_9_6), .I0(qx_9_7), @@ -3187,86 +4080,144 @@ defparam ball_s2.INIT=16'h4FFF; defparam qx_9_s2.INIT=16'hF400; LUT4 qyb_9_s2 ( .F(qyb_6_5), - .I0(n3294_7), - .I1(qxb_9_7), - .I2(n317_8), + .I0(qdy), + .I1(qyb_9_7), + .I2(n3744_8), .I3(frame_Z) ); -defparam qyb_9_s2.INIT=16'h1F00; - LUT4 n3741_s26 ( - .F(n3741_33), - .I0(n3741_34), - .I1(qyb[9]), - .I2(n3741_35), - .I3(sy_Z[9]) -); -defparam n3741_s26.INIT=16'hD4FD; +defparam qyb_9_s2.INIT=16'hEF00; + LUT4 n4207_s26 ( + .F(n4207_33), + .I0(n4207_34), + .I1(n4207_35), + .I2(n4207_36), + .I3(n4207_37) +); +defparam n4207_s26.INIT=16'hEEE0; + LUT2 n6847_s5 ( + .F(n6847), + .I0(n6847_11), + .I1(score_counter[0]) +); +defparam n6847_s5.INIT=4'h6; + LUT4 n7272_s76 ( + .F(n7272_106), + .I0(n7272_122), + .I1(\score_1[6] [4]), + .I2(n7272_108), + .I3(n7272_109) +); +defparam n7272_s76.INIT=16'hFFF8; + LUT3 n7421_s72 ( + .F(n7421_101), + .I0(n7272_122), + .I1(\score[6] [4]), + .I2(n7421_102) +); +defparam n7421_s72.INIT=8'h8F; LUT4 qdy_s3 ( .F(qdy_7), - .I0(n3294_7), - .I1(n3294_9), - .I2(qdy_8), - .I3(qdy_9) -); -defparam qdy_s3.INIT=16'hBF00; - LUT4 n3710_s33 ( - .F(n3710_46), - .I0(n3710_47), + .I0(qyb_9_7), + .I1(qdy), + .I2(qdy_10), + .I3(frame_Z) +); +defparam qdy_s3.INIT=16'h1F00; + LUT4 n4176_s33 ( + .F(n4176_46), + .I0(n4176_47), .I1(sy_Z[8]), .I2(sy_Z[7]), .I3(sy_Z[9]) ); -defparam n3710_s33.INIT=16'h00BF; - LUT2 n367_s4 ( - .F(n367_8), - .I0(qyb[0]), - .I1(n367_9) -); -defparam n367_s4.INIT=4'h1; +defparam n4176_s33.INIT=16'h00BF; LUT4 n366_s3 ( .F(n366_7), - .I0(n367_9), - .I1(qdy), - .I2(qyb[0]), - .I3(qyb[1]) + .I0(qdy), + .I1(qyb[0]), + .I2(qyb[1]), + .I3(n367_9) ); -defparam n366_s3.INIT=16'h4114; +defparam n366_s3.INIT=16'h9600; LUT3 n365_s3 ( .F(n365_7), - .I0(n367_9), - .I1(n365_8), - .I2(qyb[2]) + .I0(n365_8), + .I1(qyb[2]), + .I2(n367_9) ); -defparam n365_s3.INIT=8'h14; +defparam n365_s3.INIT=8'h60; LUT3 n364_s3 ( .F(n364_7), - .I0(n367_9), + .I0(n364_8), .I1(qyb[3]), - .I2(n364_8) + .I2(n367_9) ); -defparam n364_s3.INIT=8'h41; +defparam n364_s3.INIT=8'h90; LUT3 n362_s3 ( .F(n362_7), - .I0(n367_9), + .I0(n362_8), .I1(qyb[5]), - .I2(n362_8) + .I2(n367_9) ); -defparam n362_s3.INIT=8'h41; - LUT4 n358_s4 ( +defparam n362_s3.INIT=8'h90; + LUT3 n358_s4 ( .F(n358_8), - .I0(qyb[7]), - .I1(qyb[8]), - .I2(n316_6), - .I3(n358_9) + .I0(n358_9), + .I1(qdy), + .I2(qyb[9]) ); -defparam n358_s4.INIT=16'hEF00; - LUT3 n3881_s1 ( - .F(n3881_5), +defparam n358_s4.INIT=8'h40; + LUT2 n7421_s106 ( + .F(n7427_19), + .I0(sy_Z[1]), + .I1(\score[6] [1]) +); +defparam n7421_s106.INIT=4'h4; + LUT2 n7421_s104 ( + .F(n7423_19), + .I0(sy_Z[1]), + .I1(\score[6] [5]) +); +defparam n7421_s104.INIT=4'h4; + LUT2 n7421_s102 ( + .F(n7422_19), + .I0(sy_Z[1]), + .I1(\score[6] [6]) +); +defparam n7421_s102.INIT=4'h4; + LUT2 n7272_s113 ( + .F(n7278_19), + .I0(sy_Z[1]), + .I1(\score_1[6] [1]) +); +defparam n7272_s113.INIT=4'h4; + LUT2 n7272_s111 ( + .F(n7274_19), + .I0(sy_Z[1]), + .I1(\score_1[6] [5]) +); +defparam n7272_s111.INIT=4'h4; + LUT2 n7272_s109 ( + .F(n7273_19), + .I0(sy_Z[1]), + .I1(\score_1[6] [6]) +); +defparam n7272_s109.INIT=4'h4; + LUT4 n7585_s1 ( + .F(n7585_5), + .I0(score_area_2), + .I1(score_area_1), + .I2(ball), + .I3(brick) +); +defparam n7585_s1.INIT=16'h000E; + LUT3 n4347_s1 ( + .F(n4347_5), .I0(bricks_active[0]), - .I1(n11097_5), - .I2(n3881_6) + .I1(n12765_5), + .I2(n4347_6) ); -defparam n3881_s1.INIT=8'h80; +defparam n4347_s1.INIT=8'h80; LUT4 n161_s2 ( .F(n161_6), .I0(qdx_7), @@ -3289,22 +4240,59 @@ defparam n160_s2.INIT=8'h14; .I2(n159_7) ); defparam n159_s2.INIT=8'h41; - LUT4 n155_s2 ( - .F(n155_6), - .I0(n155_7), - .I1(n187_4), - .I2(qdx_7), - .I3(qxb[7]) + LUT3 n155_s2 ( + .F(n155_19), + .I0(qdx_7), + .I1(qxb[7]), + .I2(n155_20) ); -defparam n155_s2.INIT=16'h010E; +defparam n155_s2.INIT=8'h41; LUT4 n154_s2 ( - .F(n154_6), - .I0(qdx), - .I1(qxb[9]), - .I2(qxb[8]), - .I3(n187_5) + .F(n154_8), + .I0(n187_5), + .I1(n187_4), + .I2(qdx_7), + .I3(qxb[8]) ); -defparam n154_s2.INIT=16'hB009; +defparam n154_s2.INIT=16'h010E; + LUT3 n6843_s16 ( + .F(n6843), + .I0(score_counter[1]), + .I1(n6843_22), + .I2(n6845) +); +defparam n6843_s16.INIT=8'h60; + LUT4 n7569_s1 ( + .F(n7569_5), + .I0(score_area_1), + .I1(score_area_2), + .I2(brick), + .I3(ball) +); +defparam n7569_s1.INIT=16'hFF0E; + LUT3 n7553_s1 ( + .F(n7553_5), + .I0(brick), + .I1(score_area_1), + .I2(score_area_2) +); +defparam n7553_s1.INIT=8'hFE; + LUT4 n6846_s5 ( + .F(n6846), + .I0(score_counter[0]), + .I1(n6846_12), + .I2(n6846_13), + .I3(n6846_14) +); +defparam n6846_s5.INIT=16'h53B4; + LUT4 n6845_s5 ( + .F(n6845), + .I0(n6845_12), + .I1(n6846_14), + .I2(n6843_22), + .I3(n6846_12) +); +defparam n6845_s5.INIT=16'h48B4; LUT4 n48_s1 ( .F(n48_4), .I0(qx[2]), @@ -3321,59 +4309,52 @@ defparam n48_s1.INIT=16'hF800; .I3(qx[8]) ); defparam n48_s2.INIT=16'h0001; - LUT4 n187_s1 ( + LUT3 n187_s1 ( .F(n187_4), - .I0(qxb[5]), - .I1(qxb[6]), - .I2(qdx), - .I3(n187_6) + .I0(qdx), + .I1(n192_4), + .I2(n187_6) ); -defparam n187_s1.INIT=16'h1000; - LUT3 n187_s2 ( +defparam n187_s1.INIT=8'h40; + LUT4 n187_s2 ( .F(n187_5), - .I0(n187_4), + .I0(qxb[6]), .I1(qxb[7]), - .I2(n155_7) + .I2(qdx), + .I3(n187_7) ); -defparam n187_s2.INIT=8'h1D; +defparam n187_s2.INIT=16'h1000; LUT4 n190_s1 ( .F(n190_4), - .I0(qxb[4]), - .I1(qxb[5]), - .I2(n192_4), - .I3(qxb[6]) -); -defparam n190_s1.INIT=16'h807F; - LUT4 n190_s2 ( - .F(n190_5), .I0(n190_7), - .I1(n190_8), + .I1(qxb[7]), .I2(qxb[9]), .I3(qdx) ); -defparam n190_s2.INIT=16'h004F; +defparam n190_s1.INIT=16'h0017; LUT4 n190_s3 ( .F(n190_6), .I0(n190_9), - .I1(qxb[5]), - .I2(n187_6), - .I3(qxb[6]) + .I1(qxb[6]), + .I2(n187_7), + .I3(qdx) ); -defparam n190_s3.INIT=16'h30EF; - LUT2 n191_s1 ( +defparam n190_s3.INIT=16'hE300; + LUT4 n191_s1 ( .F(n191_4), .I0(qxb[4]), - .I1(n192_4) + .I1(n191_6), + .I2(n191_9), + .I3(qxb[5]) ); -defparam n191_s1.INIT=4'h8; - LUT4 n191_s2 ( +defparam n191_s1.INIT=16'h0B04; + LUT3 n191_s2 ( .F(n191_5), - .I0(n191_6), - .I1(qxb[5]), - .I2(n187_6), - .I3(qdx) -); -defparam n191_s2.INIT=16'hE300; + .I0(qxb[4]), + .I1(n192_4), + .I2(qxb[5]) +); +defparam n191_s2.INIT=8'h78; LUT4 n192_s1 ( .F(n192_4), .I0(qxb[1]), @@ -3384,290 +4365,576 @@ defparam n191_s2.INIT=16'hE300; defparam n192_s1.INIT=16'hF800; LUT4 n192_s2 ( .F(n192_5), - .I0(n191_6), + .I0(n191_9), .I1(qxb[4]), - .I2(n192_9), + .I2(n191_6), .I3(qdx) ); -defparam n192_s2.INIT=16'hD033; - LUT4 n315_s2 ( +defparam n192_s2.INIT=16'hE300; + LUT3 n315_s2 ( .F(n315_5), - .I0(n315_8), - .I1(n316_6), - .I2(qdy), - .I3(qyb[7]) + .I0(qyb[7]), + .I1(n315_7), + .I2(qyb[9]) +); +defparam n315_s2.INIT=8'h07; + LUT4 n315_s3 ( + .F(n315_6), + .I0(qyb[7]), + .I1(qdy), + .I2(n319_6), + .I3(n315_11) ); -defparam n315_s2.INIT=16'h05CF; - LUT2 n316_s2 ( +defparam n315_s3.INIT=16'h4000; + LUT4 n316_s2 ( .F(n316_5), - .I0(qyb[8]), - .I1(qyb[9]) + .I0(qdy), + .I1(qyb[7]), + .I2(n315_7), + .I3(n3744_8) ); -defparam n316_s2.INIT=4'h1; - LUT3 n316_s3 ( +defparam n316_s2.INIT=16'hC100; + LUT4 n316_s3 ( .F(n316_6), - .I0(qyb[5]), - .I1(qyb[6]), - .I2(n316_8) -); -defparam n316_s3.INIT=8'h10; - LUT4 n316_s4 ( - .F(n316_7), - .I0(qdy), - .I1(n315_8), - .I2(n3294_8), - .I3(qyb[7]) + .I0(n316_7), + .I1(qyb[7]), + .I2(n315_11), + .I3(n319_6) ); -defparam n316_s4.INIT=16'hBF45; - LUT4 n317_s3 ( +defparam n316_s3.INIT=16'hD000; + LUT2 n317_s3 ( .F(n317_6), - .I0(n317_9), - .I1(qyb[5]), - .I2(n316_8), - .I3(qyb[6]) + .I0(qyb[4]), + .I1(qyb[5]) +); +defparam n317_s3.INIT=4'h8; + LUT4 n317_s4 ( + .F(n317_7), + .I0(n317_10), + .I1(qyb[2]), + .I2(qdy), + .I3(qyb[3]) ); -defparam n317_s3.INIT=16'h30EF; +defparam n317_s4.INIT=16'h0E00; LUT2 n317_s5 ( .F(n317_8), .I0(qdy), - .I1(n3294_8) + .I1(n3744_8) +); +defparam n317_s5.INIT=4'h1; + LUT4 n317_s6 ( + .F(n317_9), + .I0(n316_7), + .I1(qyb[7]), + .I2(qyb[6]), + .I3(n317_13) ); -defparam n317_s5.INIT=4'h4; - LUT4 n319_s2 ( - .F(n319_5), +defparam n317_s6.INIT=16'h0DF0; + LUT4 n319_s3 ( + .F(n319_6), .I0(qyb[1]), .I1(qyb[0]), .I2(qyb[2]), .I3(qyb[3]) ); -defparam n319_s2.INIT=16'hF800; - LUT4 n319_s3 ( - .F(n319_6), - .I0(n316_6), - .I1(n317_9), - .I2(n319_7), - .I3(qdy) -); -defparam n319_s3.INIT=16'hF800; - LUT4 n3294_s4 ( - .F(n3294_7), - .I0(n3294_10), - .I1(n3294_11), - .I2(n3294_12), - .I3(n3294_13) -); -defparam n3294_s4.INIT=16'h0B00; - LUT4 n3294_s5 ( - .F(n3294_8), - .I0(qyb[6]), - .I1(qyb[7]), - .I2(n3294_14), +defparam n319_s3.INIT=16'h001F; + LUT4 n319_s4 ( + .F(n319_7), + .I0(qdy), + .I1(n3744_8), + .I2(qyb[4]), + .I3(n317_7) +); +defparam n319_s4.INIT=16'hE00E; + LUT3 n3744_s4 ( + .F(n3744_7), + .I0(n3744_10), + .I1(n3744_64), + .I2(n3744_12) +); +defparam n3744_s4.INIT=8'h40; + LUT4 n3744_s5 ( + .F(n3744_8), + .I0(n3744_13), + .I1(qyb[6]), + .I2(n3744_14), .I3(qyb[9]) ); -defparam n3294_s5.INIT=16'h007F; - LUT2 n3294_s6 ( - .F(n3294_9), - .I0(n3294_15), - .I1(n3294_16) -); -defparam n3294_s6.INIT=4'h8; - LUT3 n9048_s1 ( - .F(n9048_4), - .I0(n9048_6), - .I1(n9049_5), - .I2(bricks_active[17]) -); -defparam n9048_s1.INIT=8'h40; - LUT3 n9048_s2 ( - .F(n9048_5), - .I0(n9048_7), - .I1(n9048_8), - .I2(qxb[9]) +defparam n3744_s5.INIT=16'h00BF; + LUT4 n3744_s6 ( + .F(n3744_9), + .I0(n3744_68), + .I1(n3741_5), + .I2(n3744_58), + .I3(n3744_17) +); +defparam n3744_s6.INIT=16'h4000; + LUT3 n3735_s1 ( + .F(n3735_4), + .I0(n3735_8), + .I1(n3735_46), + .I2(n3735_48) +); +defparam n3735_s1.INIT=8'h40; + LUT3 n3735_s2 ( + .F(n3735_5), + .I0(n3737_7), + .I1(n3735_42), + .I2(n3735_46) +); +defparam n3735_s2.INIT=8'h40; + LUT4 n3735_s3 ( + .F(n3735_6), + .I0(score_counter[7]), + .I1(n3735_12), + .I2(n3735_13), + .I3(n3735_14) +); +defparam n3735_s3.INIT=16'h9669; + LUT4 n3735_s4 ( + .F(n3735_7), + .I0(n3735_54), + .I1(n3735_40), + .I2(n3735_17), + .I3(n3735_18) +); +defparam n3735_s4.INIT=16'h6996; + LUT3 n3737_s1 ( + .F(n3737_4), + .I0(n3737_36), + .I1(n3737_34), + .I2(score_counter[3]) +); +defparam n3737_s1.INIT=8'hE0; + LUT4 n3737_s3 ( + .F(n3737_6), + .I0(n3739_7), + .I1(n3737_32), + .I2(n3737_26), + .I3(n3737_24) +); +defparam n3737_s3.INIT=16'h0B74; + LUT4 n3737_s4 ( + .F(n3737_7), + .I0(n3737_14), + .I1(n3737_24), + .I2(n3737_15), + .I3(n3737_16) +); +defparam n3737_s4.INIT=16'h4EB1; + LUT4 n3738_s1 ( + .F(n3738_4), + .I0(n3738_5), + .I1(n3738_6), + .I2(score_counter[3]), + .I3(n3738_7) +); +defparam n3738_s1.INIT=16'h001F; + LUT4 n3739_s1 ( + .F(n3739_4), + .I0(n3739_8), + .I1(n3735_48), + .I2(n3739_22), + .I3(n3739_10) +); +defparam n3739_s1.INIT=16'h1EE1; + LUT2 n3739_s3 ( + .F(n3739_6), + .I0(score_counter[3]), + .I1(n3739_12) +); +defparam n3739_s3.INIT=4'h9; + LUT3 n3739_s4 ( + .F(n3739_7), + .I0(n3739_13), + .I1(n3739_14), + .I2(n3739_15) +); +defparam n3739_s4.INIT=8'hB4; + LUT4 n3741_s1 ( + .F(n3741_4), + .I0(n3741_8), + .I1(n3741_30), + .I2(bricks_active[15]), + .I3(n3741_26) +); +defparam n3741_s1.INIT=16'h4000; + LUT2 n3741_s2 ( + .F(n3741_5), + .I0(n10654_4), + .I1(n10655_6) +); +defparam n3741_s2.INIT=4'h1; + LUT4 n3741_s3 ( + .F(n3741_6), + .I0(n3741_11), + .I1(n3739_13), + .I2(n3741_12), + .I3(n3741_13) +); +defparam n3741_s3.INIT=16'h6996; + LUT4 n10654_s1 ( + .F(n10654_4), + .I0(n10654_5), + .I1(n3741_30), + .I2(bricks_active[17]), + .I3(n10654_10) +); +defparam n10654_s1.INIT=16'hC080; + LUT3 n10657_s1 ( + .F(n10657_4), + .I0(bricks_active[14]), + .I1(n3741_30), + .I2(n10657_5) +); +defparam n10657_s1.INIT=8'h80; + LUT4 n10658_s1 ( + .F(n10658_4), + .I0(n10658_5), + .I1(n10658_6), + .I2(bricks_active[13]), + .I3(n3741_30) ); -defparam n9048_s2.INIT=8'h35; - LUT4 n9049_s1 ( - .F(n9049_4), - .I0(n9049_6), - .I1(qxb[7]), +defparam n10658_s1.INIT=16'hE000; + LUT4 n10659_s1 ( + .F(n10659_4), + .I0(bricks_active[12]), + .I1(n3741_30), + .I2(n10659_5), + .I3(n10659_10) +); +defparam n10659_s1.INIT=16'h0080; + LUT4 n10660_s1 ( + .F(n10660_4), + .I0(n10654_5), + .I1(bricks_active[11]), + .I2(n10660_5), + .I3(n10654_10) +); +defparam n10660_s1.INIT=16'hC080; + LUT4 n10661_s1 ( + .F(n10661_4), + .I0(bricks_active[10]), + .I1(n10660_5), + .I2(n10661_10), + .I3(n10661_6) +); +defparam n10661_s1.INIT=16'h8000; + LUT4 n10662_s1 ( + .F(n10662_4), + .I0(n3741_8), + .I1(bricks_active[9]), + .I2(n3741_26), + .I3(n10660_5) +); +defparam n10662_s1.INIT=16'h4000; + LUT3 n10663_s1 ( + .F(n10663_4), + .I0(bricks_active[8]), + .I1(n10660_5), + .I2(n10657_5) +); +defparam n10663_s1.INIT=8'h80; + LUT4 n10665_s1 ( + .F(n10665_4), + .I0(bricks_active[6]), + .I1(n10660_5), + .I2(n10659_5), + .I3(n10659_10) +); +defparam n10665_s1.INIT=16'h0080; + LUT4 n10666_s1 ( + .F(n10666_4), + .I0(n10654_5), + .I1(n10654_10), + .I2(bricks_active[5]), + .I3(n10667_4) +); +defparam n10666_s1.INIT=16'hE000; + LUT2 n10667_s1 ( + .F(n10667_4), + .I0(n10667_6), + .I1(n10667_7) +); +defparam n10667_s1.INIT=4'h8; + LUT2 n10667_s2 ( + .F(n10667_5), + .I0(n10661_10), + .I1(n10661_6) +); +defparam n10667_s2.INIT=4'h8; + LUT4 n10668_s1 ( + .F(n10668_4), + .I0(n3741_8), + .I1(n10667_4), + .I2(bricks_active[3]), + .I3(n3741_26) +); +defparam n10668_s1.INIT=16'h4000; + LUT4 n10669_s1 ( + .F(n10669_4), + .I0(n10669_5), + .I1(n10669_6), .I2(qxb[8]), - .I3(n9049_7) -); -defparam n9049_s1.INIT=16'h8000; - LUT4 n9049_s2 ( - .F(n9049_5), - .I0(n9049_8), - .I1(n9049_9), - .I2(n9049_10), - .I3(n316_5) -); -defparam n9049_s2.INIT=16'hF800; - LUT4 n9050_s1 ( - .F(n9050_4), - .I0(qxb[7]), - .I1(n9050_6), - .I2(qxb[9]), - .I3(qxb[8]) + .I3(n10669_7) ); -defparam n9050_s1.INIT=16'h0D00; - LUT2 n9051_s1 ( - .F(n9051_4), - .I0(frame_Z), - .I1(n9051_5) -); -defparam n9051_s1.INIT=4'h8; - LUT3 n9053_s1 ( - .F(n9053_4), - .I0(n9053_5), - .I1(bricks_active[12]), - .I2(n9053_6) -); -defparam n9053_s1.INIT=8'h40; - LUT4 n9054_s1 ( - .F(n9054_4), - .I0(n9054_5), - .I1(n9048_6), - .I2(n9054_6), - .I3(bricks_active[11]) -); -defparam n9054_s1.INIT=16'h1000; - LUT2 n9055_s1 ( - .F(n9055_4), - .I0(n9054_5), - .I1(n9054_6) -); -defparam n9055_s1.INIT=4'h4; - LUT4 n9056_s1 ( - .F(n9056_4), - .I0(n9054_5), - .I1(n9050_4), - .I2(bricks_active[9]), - .I3(n9054_6) -); -defparam n9056_s1.INIT=16'h4000; - LUT3 n9057_s1 ( - .F(n9057_4), - .I0(n9054_5), - .I1(bricks_active[8]), - .I2(n9054_6) -); -defparam n9057_s1.INIT=8'h40; - LUT4 n9059_s1 ( - .F(n9059_4), - .I0(n9053_5), - .I1(n9054_5), - .I2(n9053_6), - .I3(n9059_5) -); -defparam n9059_s1.INIT=16'h1000; - LUT3 n9060_s1 ( - .F(n9060_4), - .I0(n9048_6), - .I1(n9061_4), - .I2(bricks_active[5]) -); -defparam n9060_s1.INIT=8'h40; - LUT3 n9061_s1 ( - .F(n9061_4), - .I0(qyb[6]), - .I1(n317_9), - .I2(n9061_5) +defparam n10669_s1.INIT=16'hCA00; + LUT4 n10670_s1 ( + .F(n10670_4), + .I0(n10658_5), + .I1(n10658_6), + .I2(bricks_active[1]), + .I3(n10667_4) ); -defparam n9061_s1.INIT=8'h40; - LUT4 n9064_s1 ( - .F(n9064_4), - .I0(qyb[6]), - .I1(bricks_active[1]), - .I2(n317_9), - .I3(n9061_5) -); -defparam n9064_s1.INIT=16'h4000; - LUT4 n9065_s1 ( - .F(n9065_4), - .I0(n9053_5), +defparam n10670_s1.INIT=16'hE000; + LUT4 n10671_s1 ( + .F(n10671_4), + .I0(n10659_10), .I1(bricks_active[0]), - .I2(n9053_6), - .I3(n9061_4) + .I2(n10667_4), + .I3(n10659_5) ); -defparam n9065_s1.INIT=16'h4000; - LUT4 n11097_s1 ( - .F(n11097_4), - .I0(n11097_8), - .I1(bricks_active[4]), - .I2(n11097_9), - .I3(n11097_10) +defparam n10671_s1.INIT=16'h4000; + LUT4 n12765_s1 ( + .F(n12765_4), + .I0(n12765_8), + .I1(bricks_active[3]), + .I2(n12765_9), + .I3(n12765_10) ); -defparam n11097_s1.INIT=16'h7000; - LUT4 n11097_s2 ( - .F(n11097_5), +defparam n12765_s1.INIT=16'h7000; + LUT4 n12765_s2 ( + .F(n12765_5), .I0(sy_Z[6]), .I1(sy_Z[7]), - .I2(n11097_49), - .I3(n11097_51) -); -defparam n11097_s2.INIT=16'h0100; - LUT4 n11097_s3 ( - .F(n11097_6), - .I0(n11097_13), - .I1(n11097_14), - .I2(n11097_15), - .I3(n11097_16) -); -defparam n11097_s3.INIT=16'h7F00; - LUT4 n11097_s4 ( - .F(n11097_7), - .I0(n11097_17), - .I1(n11097_18), - .I2(n11097_19), - .I3(n11097_20) -); -defparam n11097_s4.INIT=16'h7F00; - LUT4 qxb_9_s3 ( - .F(qxb_9_7), - .I0(qyb[6]), - .I1(qyb[5]), - .I2(qyb[7]), - .I3(qyb[8]) -); -defparam qxb_9_s3.INIT=16'hE000; + .I2(n12765_11), + .I3(n12765_12) +); +defparam n12765_s2.INIT=16'h0100; + LUT4 n12765_s3 ( + .F(n12765_6), + .I0(n12765_13), + .I1(n12765_14), + .I2(n12765_15), + .I3(n12765_16) +); +defparam n12765_s3.INIT=16'h7F00; + LUT4 n12765_s4 ( + .F(n12765_7), + .I0(n12765_17), + .I1(n12765_18), + .I2(sy_Z[7]), + .I3(n12765_19) +); +defparam n12765_s4.INIT=16'h1000; + LUT2 n6878_s2 ( + .F(n6878_5), + .I0(n6845), + .I1(n6843) +); +defparam n6878_s2.INIT=4'h1; + LUT4 n6925_s1 ( + .F(n6925_4), + .I0(n6845), + .I1(n6847), + .I2(n6843), + .I3(n6846) +); +defparam n6925_s1.INIT=16'h0503; + LUT4 n6941_s1 ( + .F(n6941_4), + .I0(n6848), + .I1(n6847), + .I2(n6845), + .I3(n6846) +); +defparam n6941_s1.INIT=16'hFBC5; + LUT3 n6948_s1 ( + .F(n6948_4), + .I0(n6846), + .I1(n6845), + .I2(n6848) +); +defparam n6948_s1.INIT=8'h9E; + LUT4 n6950_s1 ( + .F(n6950_4), + .I0(n6845), + .I1(n6848), + .I2(n6847), + .I3(n6846) +); +defparam n6950_s1.INIT=16'hEBF4; + LUT2 n7059_s4 ( + .F(n7059_7), + .I0(n7054), + .I1(n7053) +); +defparam n7059_s4.INIT=4'h8; + LUT3 n7076_s2 ( + .F(n7076_5), + .I0(n7054), + .I1(n7052), + .I2(n7053) +); +defparam n7076_s2.INIT=8'h10; + LUT4 n7106_s1 ( + .F(n7106_4), + .I0(n7053), + .I1(n7055), + .I2(n7052), + .I3(n7054) +); +defparam n7106_s1.INIT=16'h0C0A; + LUT4 n7122_s1 ( + .F(n7122_4), + .I0(n7055), + .I1(n7056), + .I2(n7054), + .I3(n7053) +); +defparam n7122_s1.INIT=16'hCB5F; + LUT3 n7129_s1 ( + .F(n7129_4), + .I0(n7056), + .I1(n7054), + .I2(n7053) +); +defparam n7129_s1.INIT=8'h6B; + LUT4 n7131_s1 ( + .F(n7131_4), + .I0(n7056), + .I1(n7053), + .I2(n7054), + .I3(n7055) +); +defparam n7131_s1.INIT=16'h4BF7; + LUT4 n7054_s13 ( + .F(n7054_20), + .I0(score_counter[6]), + .I1(n7053), + .I2(score_counter[7]), + .I3(n7054_22) +); +defparam n7054_s13.INIT=16'hEF00; + LUT4 n7054_s14 ( + .F(n7054_21), + .I0(score_counter[5]), + .I1(score_counter[4]), + .I2(score_counter[7]), + .I3(score_counter[6]) +); +defparam n7054_s14.INIT=16'hDEBF; + LUT2 n7055_s20 ( + .F(n7055_29), + .I0(score_counter[3]), + .I1(n7054) +); +defparam n7055_s20.INIT=4'h9; + LUT4 n7055_s21 ( + .F(n7055_30), + .I0(n7054_21), + .I1(n7054), + .I2(score_counter[3]), + .I3(n7055_32) +); +defparam n7055_s21.INIT=16'hFA03; + LUT3 n7055_s22 ( + .F(n7055_31), + .I0(n7055_33), + .I1(n7055_34), + .I2(score_counter[7]) +); +defparam n7055_s22.INIT=8'h35; + LUT4 n7056_s21 ( + .F(n7056_32), + .I0(score_counter[2]), + .I1(n7055_30), + .I2(n7056_33), + .I3(n7055_29) +); +defparam n7056_s21.INIT=16'hF0EE; + LUT4 n6944_s2 ( + .F(n6944_6), + .I0(n6848), + .I1(n6845), + .I2(n6847), + .I3(n6846) +); +defparam n6944_s2.INIT=16'h313E; + LUT4 n6952_s2 ( + .F(n6952_6), + .I0(n6848), + .I1(n6847), + .I2(n6846), + .I3(n6845) +); +defparam n6952_s2.INIT=16'h0371; + LUT4 n6958_s2 ( + .F(n6958_6), + .I0(n6848), + .I1(n6845), + .I2(n6847), + .I3(n6846) +); +defparam n6958_s2.INIT=16'h233D; + LUT4 n7125_s2 ( + .F(n7125_6), + .I0(n7056), + .I1(n7055), + .I2(n7054), + .I3(n7053) +); +defparam n7125_s2.INIT=16'h843F; LUT3 square_s3 ( .F(square_7), - .I0(square_11), - .I1(square_12), - .I2(square_13) -); -defparam square_s3.INIT=8'hB0; - LUT4 square_s6 ( - .F(square_10), - .I0(square_15), + .I0(square_10), .I1(qx[9]), - .I2(sx_Z[9]), - .I3(square_16) + .I2(square_11) ); -defparam square_s6.INIT=16'hEF00; - LUT4 ball_s3 ( - .F(ball_7), - .I0(sx_Z[7]), - .I1(ball_10), - .I2(ball_11), - .I3(ball_12) +defparam square_s3.INIT=8'h23; + LUT4 square_s4 ( + .F(square_8), + .I0(square_12), + .I1(qx[8]), + .I2(square_10), + .I3(square_11) +); +defparam square_s4.INIT=16'h00F1; + LUT4 square_s5 ( + .F(square_9), + .I0(sy_Z[7]), + .I1(square_13), + .I2(sy_Z[9]), + .I3(n4162_42) ); -defparam ball_s3.INIT=16'h004D; - LUT4 ball_s4 ( +defparam square_s5.INIT=16'hF800; + LUT2 ball_s4 ( .F(ball_8), .I0(qxb[9]), - .I1(n9048_7), - .I2(sx_Z[9]), - .I3(ball_13) + .I1(sx_Z[9]) ); -defparam ball_s4.INIT=16'h0071; - LUT4 ball_s5 ( +defparam ball_s4.INIT=4'h4; + LUT3 ball_s5 ( .F(ball_9), - .I0(qxb[9]), - .I1(n9048_7), - .I2(sx_Z[9]), - .I3(n3728_42) + .I0(ball_14), + .I1(qxb[8]), + .I2(ball_15) +); +defparam ball_s5.INIT=8'h0E; + LUT2 score_area_1_s3 ( + .F(score_area_1_7), + .I0(sx_Z[4]), + .I1(sx_Z[5]) +); +defparam score_area_1_s3.INIT=4'h1; + LUT4 score_area_1_s4 ( + .F(score_area_1_8), + .I0(sy_Z[7]), + .I1(sy_Z[8]), + .I2(sy_Z[9]), + .I3(score_area_1_9) ); -defparam ball_s5.INIT=16'hEF00; +defparam score_area_1_s4.INIT=16'h0100; LUT4 qx_9_s3 ( .F(qx_9_7), .I0(qx[3]), @@ -3678,56 +4945,94 @@ defparam ball_s5.INIT=16'hEF00; defparam qx_9_s3.INIT=16'h1000; LUT3 qdx_s3 ( .F(qdx_7), - .I0(n191_6), + .I0(n191_9), .I1(qdx), - .I2(n190_5) + .I2(n190_4) ); defparam qdx_s3.INIT=8'h0B; - LUT4 n3741_s27 ( - .F(n3741_34), - .I0(n3741_36), - .I1(n3741_37), - .I2(n3741_38), - .I3(n3741_39) -); -defparam n3741_s27.INIT=16'h001F; - LUT3 n3741_s28 ( - .F(n3741_35), - .I0(qyb[7]), - .I1(qyb[8]), - .I2(n3741_59) + LUT4 qyb_9_s3 ( + .F(qyb_9_7), + .I0(n3744_10), + .I1(n3744_64), + .I2(n3744_12), + .I3(qyb_9_8) +); +defparam qyb_9_s3.INIT=16'hBF00; + LUT4 n4207_s27 ( + .F(n4207_34), + .I0(sy_Z[9]), + .I1(qyb[9]), + .I2(qyb[8]), + .I3(n4207_38) ); -defparam n3741_s28.INIT=8'h80; - LUT3 qdy_s4 ( - .F(qdy_8), - .I0(qdy), +defparam n4207_s27.INIT=16'hD4DD; + LUT3 n4207_s28 ( + .F(n4207_35), + .I0(sy_Z[8]), + .I1(qyb[7]), + .I2(n4207_70) +); +defparam n4207_s28.INIT=8'h40; + LUT2 n4207_s29 ( + .F(n4207_36), + .I0(n4207_38), + .I1(qyb[8]) +); +defparam n4207_s29.INIT=4'h4; + LUT4 n4207_s30 ( + .F(n4207_37), + .I0(sy_Z[9]), .I1(qyb[9]), - .I2(qxb_9_7) + .I2(n4207_40), + .I3(sy_Z[8]) ); -defparam qdy_s4.INIT=8'h01; - LUT4 qdy_s5 ( - .F(qdy_9), - .I0(qdy_10), - .I1(n3294_15), - .I2(n3294_16), - .I3(frame_Z) +defparam n4207_s30.INIT=16'hD4DD; + LUT4 n6847_s6 ( + .F(n6847_11), + .I0(n6846_14), + .I1(n6846_12), + .I2(n6843_22), + .I3(score_counter[1]) +); +defparam n6847_s6.INIT=16'h3FC8; + LUT4 n7272_s78 ( + .F(n7272_108), + .I0(n7272_112), + .I1(sx_Z[1]), + .I2(sx_Z[3]), + .I3(n7272_113) +); +defparam n7272_s78.INIT=16'h0070; + LUT4 n7272_s79 ( + .F(n7272_109), + .I0(n7272_100), + .I1(sx_Z[2]), + .I2(sx_Z[3]), + .I3(n7272_114) +); +defparam n7272_s79.INIT=16'h0E00; + LUT4 n7421_s73 ( + .F(n7421_102), + .I0(sx_Z[1]), + .I1(sx_Z[3]), + .I2(n7421_103), + .I3(n7421_104) ); -defparam qdy_s5.INIT=16'h7F00; - LUT3 n3710_s34 ( - .F(n3710_47), - .I0(n3710_48), +defparam n7421_s73.INIT=16'h7F00; + LUT3 n4176_s34 ( + .F(n4176_47), + .I0(n4176_50), .I1(sy_Z[5]), .I2(sy_Z[6]) ); -defparam n3710_s34.INIT=8'h0B; - LUT4 n367_s5 ( +defparam n4176_s34.INIT=8'h0B; + LUT3 n367_s5 ( .F(n367_9), - .I0(n316_6), - .I1(n317_9), - .I2(qdy), - .I3(n317_8) + .I0(n3744_8), + .I1(qdy), + .I2(n319_9) ); -defparam n367_s5.INIT=16'h008F; +defparam n367_s5.INIT=8'h0E; LUT3 n365_s4 ( .F(n365_8), .I0(qdy), @@ -3745,26 +5050,28 @@ defparam n365_s4.INIT=8'hBD; defparam n364_s4.INIT=16'hE007; LUT4 n362_s4 ( .F(n362_8), - .I0(qyb[4]), - .I1(n319_5), - .I2(n316_8), - .I3(qdy) + .I0(n319_6), + .I1(qdy), + .I2(n317_7), + .I3(qyb[4]) ); defparam n362_s4.INIT=16'h0F77; - LUT2 n358_s5 ( + LUT4 n358_s5 ( .F(n358_9), - .I0(qdy), - .I1(qyb[9]) -); -defparam n358_s5.INIT=4'h8; - LUT4 n3881_s2 ( - .F(n3881_6), - .I0(sx_Z[7]), - .I1(sx_Z[8]), - .I2(sx_Z[9]), - .I3(n3881_7) + .I0(qyb[7]), + .I1(qyb[8]), + .I2(n319_6), + .I3(n315_11) +); +defparam n358_s5.INIT=16'h1000; + LUT4 n4347_s2 ( + .F(n4347_6), + .I0(n4347_7), + .I1(n4347_8), + .I2(sx_Z[6]), + .I3(n4347_9) ); -defparam n3881_s2.INIT=16'h0100; +defparam n4347_s2.INIT=16'hBC00; LUT3 n160_s3 ( .F(n160_7), .I0(qdx), @@ -3780,33 +5087,83 @@ defparam n160_s3.INIT=8'hBD; .I3(qxb[2]) ); defparam n159_s3.INIT=16'hE007; - LUT3 n155_s3 ( - .F(n155_7), - .I0(qdx), - .I1(n192_4), - .I2(n155_8) + LUT4 n155_s3 ( + .F(n155_20), + .I0(n192_4), + .I1(n155_21), + .I2(n155_24), + .I3(qdx) ); -defparam n155_s3.INIT=8'h40; - LUT2 n187_s3 ( +defparam n155_s3.INIT=16'h0F77; + LUT4 n6843_s17 ( + .F(n6843_22), + .I0(n6843_23), + .I1(n6843_24), + .I2(score_counter[6]), + .I3(n6846_14) +); +defparam n6843_s17.INIT=16'h2221; + LUT4 n6846_s6 ( + .F(n6846_12), + .I0(n6846_15), + .I1(n6846_16), + .I2(n6846_17), + .I3(score_counter[7]) +); +defparam n6846_s6.INIT=16'h0C0A; + LUT4 n6846_s7 ( + .F(n6846_13), + .I0(score_counter[0]), + .I1(score_counter[1]), + .I2(n6843_22), + .I3(n6846_12) +); +defparam n6846_s7.INIT=16'hBD88; + LUT4 n6846_s8 ( + .F(n6846_14), + .I0(n6846_18), + .I1(score_counter[4]), + .I2(score_counter[2]), + .I3(n6846_19) +); +defparam n6846_s8.INIT=16'h007D; + LUT4 n6845_s6 ( + .F(n6845_12), + .I0(n6843_22), + .I1(n6846_12), + .I2(score_counter[1]), + .I3(score_counter[0]) +); +defparam n6845_s6.INIT=16'hBCC0; + LUT4 n187_s3 ( .F(n187_6), .I0(qxb[4]), - .I1(n192_9) + .I1(qxb[5]), + .I2(qxb[6]), + .I3(qxb[7]) +); +defparam n187_s3.INIT=16'h8000; + LUT3 n187_s4 ( + .F(n187_7), + .I0(qxb[4]), + .I1(qxb[5]), + .I2(n191_6) ); -defparam n187_s3.INIT=4'h4; +defparam n187_s4.INIT=8'h10; LUT4 n190_s4 ( .F(n190_7), - .I0(n192_4), - .I1(qxb[4]), - .I2(qxb[6]), - .I3(qxb[5]) + .I0(qxb[8]), + .I1(ball_43), + .I2(n190_10), + .I3(qxb[7]) ); -defparam n190_s4.INIT=16'hE000; +defparam n190_s4.INIT=16'h80FE; LUT2 n190_s5 ( .F(n190_8), - .I0(qxb[7]), - .I1(qxb[8]) + .I0(qxb[4]), + .I1(qxb[5]) ); -defparam n190_s5.INIT=4'h1; +defparam n190_s5.INIT=4'h8; LUT3 n190_s6 ( .F(n190_9), .I0(qxb[7]), @@ -3816,392 +5173,543 @@ defparam n190_s5.INIT=4'h1; defparam n190_s6.INIT=8'h01; LUT4 n191_s3 ( .F(n191_6), - .I0(qxb[5]), - .I1(qxb[6]), - .I2(n187_6), - .I3(n190_9) + .I0(qxb[1]), + .I1(qxb[0]), + .I2(qxb[2]), + .I3(qxb[3]) ); -defparam n191_s3.INIT=16'h1000; - LUT4 n316_s5 ( - .F(n316_8), - .I0(n316_9), +defparam n191_s3.INIT=16'h001F; + LUT4 n315_s4 ( + .F(n315_7), + .I0(n317_10), .I1(qyb[2]), - .I2(qyb[3]), - .I3(qyb[4]) + .I2(n315_9), + .I3(n317_6) ); -defparam n316_s5.INIT=16'h000B; - LUT3 n317_s6 ( - .F(n317_9), - .I0(qyb[7]), - .I1(qyb[8]), - .I2(qyb[9]) +defparam n315_s4.INIT=16'hE000; + LUT2 n316_s4 ( + .F(n316_7), + .I0(qyb[8]), + .I1(qyb[9]) ); -defparam n317_s6.INIT=8'h01; +defparam n316_s4.INIT=4'h1; LUT2 n317_s7 ( .F(n317_10), - .I0(qyb[4]), - .I1(qyb[5]) + .I0(qyb[0]), + .I1(qyb[1]) ); defparam n317_s7.INIT=4'h8; - LUT4 n319_s4 ( - .F(n319_7), - .I0(n316_9), - .I1(qyb[2]), - .I2(qyb[3]), - .I3(qyb[4]) -); -defparam n319_s4.INIT=16'h0BF4; - LUT4 n3294_s7 ( - .F(n3294_10), - .I0(qxb[6]), - .I1(n3294_17), - .I2(n3294_18), - .I3(n3294_19) -); -defparam n3294_s7.INIT=16'h004D; - LUT4 n3294_s8 ( - .F(n3294_11), - .I0(qxb[7]), - .I1(n3294_20), - .I2(qxb[8]), - .I3(square_14) -); -defparam n3294_s8.INIT=16'h0BB0; - LUT4 n3294_s9 ( - .F(n3294_12), - .I0(n3294_64), - .I1(n3294_22), - .I2(n3294_23), - .I3(n3294_24) -); -defparam n3294_s9.INIT=16'h004F; - LUT4 n3294_s10 ( - .F(n3294_13), - .I0(qx[9]), - .I1(n3294_25), - .I2(n3294_26), - .I3(n3294_27) + LUT4 n3744_s7 ( + .F(n3744_10), + .I0(qx[8]), + .I1(n3744_18), + .I2(n3744_19), + .I3(n3744_20) ); -defparam n3294_s10.INIT=16'h0B00; - LUT3 n3294_s11 ( - .F(n3294_14), - .I0(qyb[5]), - .I1(qyb[4]), - .I2(qyb[8]) +defparam n3744_s7.INIT=16'h8E00; + LUT4 n3744_s9 ( + .F(n3744_12), + .I0(square_11), + .I1(qx[9]), + .I2(n3744_22), + .I3(qxb[9]) ); -defparam n3294_s11.INIT=8'hE0; - LUT4 n3294_s12 ( - .F(n3294_15), - .I0(n3294_28), - .I1(n3294_29), - .I2(n3294_30), - .I3(n3294_31) -); -defparam n3294_s12.INIT=16'h0001; - LUT4 n3294_s13 ( - .F(n3294_16), - .I0(n3294_32), - .I1(n3294_33), - .I2(n3294_34), - .I3(n3294_35) -); -defparam n3294_s13.INIT=16'h1000; - LUT4 n9048_s3 ( - .F(n9048_6), - .I0(n192_7), - .I1(qxb[2]), - .I2(qxb[3]), - .I3(n155_8) +defparam n3744_s9.INIT=16'hCAFC; + LUT2 n3744_s10 ( + .F(n3744_13), + .I0(qyb[4]), + .I1(qyb[5]) ); -defparam n9048_s3.INIT=16'h0B00; - LUT4 n9048_s4 ( - .F(n9048_7), - .I0(n9048_9), - .I1(qxb[8]), - .I2(n155_8), - .I3(qxb[7]) +defparam n3744_s10.INIT=4'h1; + LUT2 n3744_s11 ( + .F(n3744_14), + .I0(qyb[7]), + .I1(qyb[8]) +); +defparam n3744_s11.INIT=4'h8; + LUT3 n3744_s14 ( + .F(n3744_17), + .I0(n10668_4), + .I1(n3744_27), + .I2(n10669_4) +); +defparam n3744_s14.INIT=8'h01; + LUT4 n3735_s5 ( + .F(n3735_8), + .I0(score_counter[4]), + .I1(n3735_19), + .I2(score_counter[5]), + .I3(n3735_20) +); +defparam n3735_s5.INIT=16'hA5C3; + LUT4 n3735_s9 ( + .F(n3735_12), + .I0(n3735_33), + .I1(n3735_40), + .I2(n3735_52), + .I3(n3735_23) +); +defparam n3735_s9.INIT=16'h00DF; + LUT3 n3735_s10 ( + .F(n3735_13), + .I0(n3737_4), + .I1(n3735_24), + .I2(n3735_50) +); +defparam n3735_s10.INIT=8'h13; + LUT4 n3735_s11 ( + .F(n3735_14), + .I0(n3735_26), + .I1(n3735_40), + .I2(n3735_27), + .I3(score_counter[6]) +); +defparam n3735_s11.INIT=16'hAC00; + LUT4 n3735_s14 ( + .F(n3735_17), + .I0(n3735_31), + .I1(n3737_6), + .I2(score_counter[3]), + .I3(score_counter[5]) +); +defparam n3735_s14.INIT=16'h1000; + LUT4 n3735_s15 ( + .F(n3735_18), + .I0(n3735_32), + .I1(n3739_6), + .I2(n3739_22), + .I3(n3735_26) +); +defparam n3735_s15.INIT=16'h0100; + LUT2 n3737_s7 ( + .F(n3737_10), + .I0(score_counter[3]), + .I1(score_counter[2]) +); +defparam n3737_s7.INIT=4'h8; + LUT4 n3737_s11 ( + .F(n3737_14), + .I0(n3739_7), + .I1(n3737_30), + .I2(n3737_20), + .I3(n3737_21) +); +defparam n3737_s11.INIT=16'hBF00; + LUT3 n3737_s12 ( + .F(n3737_15), + .I0(n3737_24), + .I1(n3739_7), + .I2(n3737_22) +); +defparam n3737_s12.INIT=8'h10; + LUT3 n3737_s13 ( + .F(n3737_16), + .I0(n3735_20), + .I1(n3735_28), + .I2(n3735_8) +); +defparam n3737_s13.INIT=8'hB4; + LUT4 n3738_s2 ( + .F(n3738_5), + .I0(n3737_17), + .I1(n10663_4), + .I2(n3738_8), + .I3(n3739_7) +); +defparam n3738_s2.INIT=16'h00F8; + LUT4 n3738_s3 ( + .F(n3738_6), + .I0(score_counter[2]), + .I1(n3744_27), + .I2(n3739_13), + .I3(score_counter[0]) +); +defparam n3738_s3.INIT=16'h0800; + LUT3 n3738_s4 ( + .F(n3738_7), + .I0(n3738_11), + .I1(n10666_4), + .I2(n3735_33) +); +defparam n3738_s4.INIT=8'hE0; + LUT3 n3739_s5 ( + .F(n3739_8), + .I0(n3741_14), + .I1(n10659_4), + .I2(score_counter[1]) +); +defparam n3739_s5.INIT=8'h80; + LUT4 n3739_s7 ( + .F(n3739_10), + .I0(n10655_6), + .I1(n3741_4), + .I2(n3741_6), + .I3(n3741_14) +); +defparam n3739_s7.INIT=16'hE000; + LUT4 n3739_s9 ( + .F(n3739_12), + .I0(n3739_13), + .I1(n3739_14), + .I2(score_counter[2]), + .I3(n3739_15) +); +defparam n3739_s9.INIT=16'hF040; + LUT4 n3739_s10 ( + .F(n3739_13), + .I0(n3739_17), + .I1(n10667_4), + .I2(n10659_5), + .I3(score_counter[1]) +); +defparam n3739_s10.INIT=16'h807F; + LUT4 n3739_s11 ( + .F(n3739_14), + .I0(n3744_27), + .I1(n10669_4), + .I2(n10668_4), + .I3(score_counter[0]) +); +defparam n3739_s11.INIT=16'hFE00; + LUT4 n3739_s12 ( + .F(n3739_15), + .I0(n3744_24), + .I1(n3744_23), + .I2(n3739_24), + .I3(score_counter[2]) +); +defparam n3739_s12.INIT=16'hE01F; + LUT4 n3741_s5 ( + .F(n3741_8), + .I0(n190_8), + .I1(n3741_15), + .I2(qxb[7]), + .I3(qxb[6]) ); -defparam n9048_s4.INIT=16'h4000; - LUT4 n9048_s5 ( - .F(n9048_8), +defparam n3741_s5.INIT=16'h000D; + LUT3 n3741_s8 ( + .F(n3741_11), + .I0(n10658_4), + .I1(n3741_19), + .I2(n10657_4) +); +defparam n3741_s8.INIT=8'hB2; + LUT4 n3741_s9 ( + .F(n3741_12), + .I0(n3741_20), + .I1(n3744_17), + .I2(score_counter[0]), + .I3(n3741_21) +); +defparam n3741_s9.INIT=16'h008F; + LUT4 n3741_s10 ( + .F(n3741_13), + .I0(n10659_4), + .I1(n3741_22), + .I2(n3741_23), + .I3(n3741_19) +); +defparam n3741_s10.INIT=16'h00FB; + LUT3 n3741_s11 ( + .F(n3741_14), + .I0(n3744_68), + .I1(n3744_27), + .I2(n3741_19) +); +defparam n3741_s11.INIT=8'hE1; + LUT4 n10654_s2 ( + .F(n10654_5), .I0(qxb[4]), - .I1(n9048_10), - .I2(qxb[6]), - .I3(n190_8) -); -defparam n9048_s5.INIT=16'h4F00; - LUT4 n9049_s3 ( - .F(n9049_6), - .I0(qxb[9]), - .I1(qxb[5]), + .I1(n10654_7), .I2(qxb[6]), - .I3(qxb[4]) + .I3(n10654_8) ); -defparam n9049_s3.INIT=16'h1554; - LUT4 n9049_s4 ( - .F(n9049_7), - .I0(qxb[0]), - .I1(n9049_11), - .I2(qxb[5]), - .I3(qxb[6]) -); -defparam n9049_s4.INIT=16'hDFF3; - LUT2 n9049_s5 ( - .F(n9049_8), - .I0(qyb[5]), - .I1(qyb[6]) -); -defparam n9049_s5.INIT=4'h8; - LUT4 n9049_s6 ( - .F(n9049_9), - .I0(qyb[2]), - .I1(qyb[3]), - .I2(qyb[7]), - .I3(qyb[4]) -); -defparam n9049_s6.INIT=16'h0E00; - LUT3 n9049_s7 ( - .F(n9049_10), - .I0(qyb[5]), - .I1(qyb[6]), - .I2(qyb[7]) +defparam n10654_s2.INIT=16'h4F00; + LUT4 n10657_s2 ( + .F(n10657_5), + .I0(n10669_5), + .I1(n10669_6), + .I2(qxb[9]), + .I3(qxb[8]) ); -defparam n9049_s7.INIT=8'h10; - LUT3 n9050_s3 ( - .F(n9050_6), - .I0(qxb[4]), - .I1(qxb[5]), - .I2(qxb[6]) +defparam n10657_s2.INIT=16'h0C0A; + LUT4 n10658_s2 ( + .F(n10658_5), + .I0(qxb[5]), + .I1(n10658_7), + .I2(qxb[6]), + .I3(n10658_8) ); -defparam n9050_s3.INIT=8'h01; - LUT3 n9050_s4 ( - .F(n9050_7), - .I0(n9050_8), - .I1(n9050_9), - .I2(ball_11) -); -defparam n9050_s4.INIT=8'hE0; - LUT4 n9051_s2 ( - .F(n9051_5), - .I0(n9050_9), - .I1(qxb[7]), - .I2(qxb[9]), - .I3(n9051_6) -); -defparam n9051_s2.INIT=16'h000E; - LUT3 n9052_s2 ( - .F(n9052_5), - .I0(n9052_6), - .I1(n9052_7), - .I2(n9052_8) -); -defparam n9052_s2.INIT=8'h20; - LUT4 n9053_s2 ( - .F(n9053_5), +defparam n10658_s2.INIT=16'h1F00; + LUT4 n10658_s3 ( + .F(n10658_6), .I0(qxb[3]), - .I1(qxb[2]), - .I2(n9053_7), - .I3(n155_8) + .I1(n190_9), + .I2(n155_21), + .I3(n10658_9) ); -defparam n9053_s2.INIT=16'hFE00; - LUT4 n9053_s3 ( - .F(n9053_6), +defparam n10658_s3.INIT=16'h0080; + LUT4 n10659_s2 ( + .F(n10659_5), .I0(qxb[5]), .I1(qxb[6]), - .I2(n9053_8), + .I2(n10659_7), .I3(n190_9) ); -defparam n9053_s3.INIT=16'hFE00; - LUT4 n9054_s2 ( - .F(n9054_5), - .I0(qyb[1]), - .I1(qyb[3]), - .I2(qyb[4]), - .I3(n9054_7) +defparam n10659_s2.INIT=16'hFE00; + LUT4 n10660_s2 ( + .F(n10660_5), + .I0(n10660_6), + .I1(qyb[4]), + .I2(qyb[5]), + .I3(n10660_7) ); -defparam n9054_s2.INIT=16'h0100; - LUT4 n9054_s3 ( - .F(n9054_6), - .I0(n317_10), - .I1(n9054_8), - .I2(n317_9), - .I3(qyb[6]) +defparam n10660_s2.INIT=16'h2D00; + LUT4 n10661_s3 ( + .F(n10661_6), + .I0(n10661_7), + .I1(n10661_8), + .I2(qxb[5]), + .I3(qxb[6]) ); -defparam n9054_s3.INIT=16'h1000; - LUT4 n9059_s2 ( - .F(n9059_5), - .I0(n317_10), - .I1(n9054_8), - .I2(n317_9), - .I3(n9059_6) +defparam n10661_s3.INIT=16'h3FFA; + LUT3 n10664_s2 ( + .F(n10664_5), + .I0(n10658_5), + .I1(n10658_6), + .I2(bricks_active[7]) +); +defparam n10664_s2.INIT=8'hE0; + LUT4 n10667_s3 ( + .F(n10667_6), + .I0(qyb[6]), + .I1(qyb[7]), + .I2(qyb[8]), + .I3(qyb[9]) ); -defparam n9059_s2.INIT=16'h1000; - LUT4 n9061_s2 ( - .F(n9061_5), +defparam n10667_s3.INIT=16'h0001; + LUT4 n10667_s4 ( + .F(n10667_7), .I0(qyb[3]), .I1(qyb[2]), .I2(qyb[5]), .I3(qyb[4]) ); -defparam n9061_s2.INIT=16'h7FF0; - LUT4 n11097_s5 ( - .F(n11097_8), - .I0(sx_Z[9]), - .I1(n11097_21), - .I2(sx_Z[7]), - .I3(sx_Z[8]) -); -defparam n11097_s5.INIT=16'h4000; - LUT4 n11097_s6 ( - .F(n11097_9), - .I0(n11097_22), - .I1(bricks_active[5]), - .I2(bricks_active[1]), - .I3(n11097_23) +defparam n10667_s4.INIT=16'h7FF0; + LUT4 n10669_s2 ( + .F(n10669_5), + .I0(qxb[5]), + .I1(n10669_8), + .I2(qxb[6]), + .I3(qxb[7]) ); -defparam n11097_s6.INIT=16'h0777; - LUT4 n11097_s7 ( - .F(n11097_10), - .I0(n11097_24), - .I1(bricks_active[3]), - .I2(bricks_active[2]), - .I3(n11097_25) +defparam n10669_s2.INIT=16'hE000; + LUT4 n10669_s3 ( + .F(n10669_6), + .I0(n10669_9), + .I1(n190_8), + .I2(qxb[6]), + .I3(qxb[7]) ); -defparam n11097_s7.INIT=16'h0777; - LUT4 n11097_s10 ( - .F(n11097_13), - .I0(n11097_24), - .I1(bricks_active[9]), - .I2(bricks_active[8]), - .I3(n11097_25) +defparam n10669_s3.INIT=16'h000B; + LUT4 n10669_s4 ( + .F(n10669_7), + .I0(qxb[9]), + .I1(bricks_active[2]), + .I2(n10667_6), + .I3(n10667_7) ); -defparam n11097_s10.INIT=16'h0777; - LUT4 n11097_s11 ( - .F(n11097_14), - .I0(n11097_23), - .I1(bricks_active[7]), - .I2(bricks_active[6]), - .I3(n3881_6) +defparam n10669_s4.INIT=16'h4000; + LUT3 n12765_s5 ( + .F(n12765_8), + .I0(sx_Z[9]), + .I1(n12765_20), + .I2(sx_Z[8]) +); +defparam n12765_s5.INIT=8'h10; + LUT4 n12765_s6 ( + .F(n12765_9), + .I0(n12765_21), + .I1(bricks_active[5]), + .I2(bricks_active[1]), + .I3(n12765_22) +); +defparam n12765_s6.INIT=16'h0777; + LUT4 n12765_s7 ( + .F(n12765_10), + .I0(n12765_23), + .I1(bricks_active[4]), + .I2(n12765_24), + .I3(bricks_active[2]) +); +defparam n12765_s7.INIT=16'h7077; + LUT4 n12765_s8 ( + .F(n12765_11), + .I0(sy_Z[1]), + .I1(sy_Z[4]), + .I2(n7272_111), + .I3(sy_Z[5]) +); +defparam n12765_s8.INIT=16'hC07F; + LUT2 n12765_s9 ( + .F(n12765_12), + .I0(sy_Z[8]), + .I1(sy_Z[9]) ); -defparam n11097_s11.INIT=16'h0777; - LUT4 n11097_s12 ( - .F(n11097_15), - .I0(n11097_22), +defparam n12765_s9.INIT=4'h1; + LUT4 n12765_s10 ( + .F(n12765_13), + .I0(n12765_23), + .I1(bricks_active[10]), + .I2(n12765_24), + .I3(bricks_active[8]) +); +defparam n12765_s10.INIT=16'h7077; + LUT4 n12765_s11 ( + .F(n12765_14), + .I0(n12765_21), .I1(bricks_active[11]), - .I2(bricks_active[10]), - .I3(n11097_8) + .I2(bricks_active[7]), + .I3(n12765_22) ); -defparam n11097_s12.INIT=16'h0777; - LUT4 n11097_s13 ( - .F(n11097_16), - .I0(sy_Z[7]), - .I1(sy_Z[6]), - .I2(n11097_30), - .I3(n11097_29) +defparam n12765_s11.INIT=16'h0777; + LUT4 n12765_s12 ( + .F(n12765_15), + .I0(n12765_8), + .I1(bricks_active[9]), + .I2(bricks_active[6]), + .I3(n4347_6) ); -defparam n11097_s13.INIT=16'h0400; - LUT4 n11097_s14 ( - .F(n11097_17), - .I0(bricks_active[12]), - .I1(n3881_6), - .I2(n11097_31), - .I3(n11097_32) -); -defparam n11097_s14.INIT=16'h0777; - LUT4 n11097_s15 ( - .F(n11097_18), - .I0(n11097_22), - .I1(bricks_active[17]), - .I2(bricks_active[14]), - .I3(n11097_25) +defparam n12765_s12.INIT=16'h0777; + LUT4 n12765_s13 ( + .F(n12765_16), + .I0(n4176_50), + .I1(sy_Z[4]), + .I2(sy_Z[5]), + .I3(n12765_25) ); -defparam n11097_s15.INIT=16'h0777; - LUT4 n11097_s16 ( - .F(n11097_19), - .I0(n11097_8), - .I1(bricks_active[16]), - .I2(bricks_active[15]), - .I3(n11097_24) +defparam n12765_s13.INIT=16'hAC00; + LUT4 n12765_s14 ( + .F(n12765_17), + .I0(sy_Z[1]), + .I1(sy_Z[2]), + .I2(sy_Z[3]), + .I3(sy_Z[4]) ); -defparam n11097_s16.INIT=16'h0777; - LUT4 n11097_s17 ( - .F(n11097_20), - .I0(n11097_33), - .I1(sy_Z[7]), - .I2(n11097_27), - .I3(n11097_29) +defparam n12765_s14.INIT=16'h0001; + LUT4 n12765_s15 ( + .F(n12765_18), + .I0(n12765_8), + .I1(bricks_active[15]), + .I2(n12765_26), + .I3(n12765_27) +); +defparam n12765_s15.INIT=16'h7000; + LUT4 n12765_s16 ( + .F(n12765_19), + .I0(sy_Z[5]), + .I1(sy_Z[6]), + .I2(sy_Z[8]), + .I3(sy_Z[9]) +); +defparam n12765_s16.INIT=16'h0001; + LUT3 n7054_s15 ( + .F(n7054_22), + .I0(score_counter[4]), + .I1(score_counter[3]), + .I2(n7053) +); +defparam n7054_s15.INIT=8'h17; + LUT2 n7055_s23 ( + .F(n7055_32), + .I0(score_counter[4]), + .I1(n7053) +); +defparam n7055_s23.INIT=4'h6; + LUT4 n7055_s24 ( + .F(n7055_33), + .I0(score_counter[5]), + .I1(n7053), + .I2(score_counter[3]), + .I3(n7055_35) +); +defparam n7055_s24.INIT=16'hF7AC; + LUT4 n7055_s25 ( + .F(n7055_34), + .I0(score_counter[4]), + .I1(score_counter[6]), + .I2(score_counter[5]), + .I3(n7055_36) +); +defparam n7055_s25.INIT=16'hF8B7; + LUT3 n7056_s22 ( + .F(n7056_33), + .I0(score_counter[2]), + .I1(score_counter[1]), + .I2(n7055) +); +defparam n7056_s22.INIT=8'h17; + LUT4 square_s6 ( + .F(square_10), + .I0(square_14), + .I1(square_15), + .I2(square_16), + .I3(square_17) ); -defparam n11097_s17.INIT=16'h4000; - LUT4 square_s7 ( +defparam square_s6.INIT=16'hF100; + LUT3 square_s7 ( .F(square_11), - .I0(square_17), - .I1(sx_Z[5]), - .I2(square_33), - .I3(square_29) + .I0(square_18), + .I1(qx[8]), + .I2(qx[7]) ); -defparam square_s7.INIT=16'h008E; +defparam square_s7.INIT=8'h40; LUT4 square_s8 ( .F(square_12), - .I0(sx_Z[7]), - .I1(n3294_20), - .I2(n3294_18), - .I3(sx_Z[6]) + .I0(square_14), + .I1(square_19), + .I2(square_15), + .I3(square_20) ); -defparam square_s8.INIT=16'h0DDD; +defparam square_s8.INIT=16'hFB00; LUT4 square_s9 ( .F(square_13), - .I0(sx_Z[8]), - .I1(square_14), - .I2(sx_Z[7]), - .I3(n3294_20) -); -defparam square_s9.INIT=16'hE0EE; - LUT2 square_s10 ( - .F(square_14), - .I0(qx[8]), - .I1(square_20) -); -defparam square_s10.INIT=4'h9; - LUT2 square_s11 ( - .F(square_15), - .I0(qx[8]), - .I1(square_20) -); -defparam square_s11.INIT=4'h8; - LUT4 square_s12 ( - .F(square_16), - .I0(n11097_49), - .I1(square_21), - .I2(sy_Z[9]), - .I3(n3696_42) -); -defparam square_s12.INIT=16'hF400; - LUT3 ball_s6 ( - .F(ball_10), - .I0(sx_Z[6]), - .I1(n9050_9), - .I2(ball_14) + .I0(sy_Z[6]), + .I1(sy_Z[5]), + .I2(square_21), + .I3(sy_Z[8]) ); -defparam ball_s6.INIT=8'h17; - LUT3 ball_s7 ( +defparam square_s9.INIT=16'hFE00; + LUT2 ball_s7 ( .F(ball_11), - .I0(n9048_9), - .I1(n155_8), - .I2(qxb[7]) -); -defparam ball_s7.INIT=8'h4B; - LUT2 ball_s8 ( - .F(ball_12), - .I0(n9052_6), - .I1(sx_Z[8]) + .I0(qxb[7]), + .I1(qxb[8]) ); -defparam ball_s8.INIT=4'h8; - LUT2 ball_s9 ( - .F(ball_13), +defparam ball_s7.INIT=4'h8; + LUT4 ball_s10 ( + .F(ball_14), .I0(sx_Z[8]), - .I1(n9052_6) + .I1(ball_16), + .I2(ball_17), + .I3(ball_18) ); -defparam ball_s9.INIT=4'h1; +defparam ball_s10.INIT=16'hF400; + LUT4 ball_s11 ( + .F(ball_15), + .I0(ball_19), + .I1(ball_17), + .I2(ball_50), + .I3(ball_21) +); +defparam ball_s11.INIT=16'h0305; + LUT4 score_area_1_s5 ( + .F(score_area_1_9), + .I0(sx_Z[6]), + .I1(sy_Z[4]), + .I2(score_area_1_10), + .I3(n4347_9) +); +defparam score_area_1_s5.INIT=16'h1000; LUT4 qx_9_s4 ( .F(qx_9_8), .I0(qx[1]), @@ -4210,1075 +5718,2148 @@ defparam ball_s9.INIT=4'h1; .I3(qx[9]) ); defparam qx_9_s4.INIT=16'h001F; - LUT4 n3741_s29 ( - .F(n3741_36), - .I0(sy_Z[5]), - .I1(n3741_41), - .I2(n3741_42), - .I3(n3741_43) -); -defparam n3741_s29.INIT=16'h0017; - LUT4 n3741_s30 ( - .F(n3741_37), - .I0(sy_Z[6]), - .I1(qyb[6]), - .I2(n3741_57), - .I3(n3741_45) -); -defparam n3741_s30.INIT=16'hD43F; - LUT4 n3741_s31 ( - .F(n3741_38), - .I0(n3741_46), - .I1(sy_Z[7]), - .I2(qyb[8]), - .I3(sy_Z[8]) + LUT4 qyb_9_s4 ( + .F(qyb_9_8), + .I0(qyb[6]), + .I1(qyb[5]), + .I2(n3744_14), + .I3(qyb[9]) ); -defparam n3741_s31.INIT=16'h6177; - LUT4 n3741_s32 ( - .F(n3741_39), +defparam qyb_9_s4.INIT=16'h001F; + LUT4 n4207_s31 ( + .F(n4207_38), + .I0(n4207_41), + .I1(n4207_42), + .I2(n4207_43), + .I3(n4207_82) +); +defparam n4207_s31.INIT=16'h004F; + LUT2 n4207_s33 ( + .F(n4207_40), .I0(qyb[7]), - .I1(n3741_59), - .I2(sy_Z[8]), - .I3(qyb[8]) + .I1(n4207_70) ); -defparam n3741_s32.INIT=16'h0708; - LUT3 qdy_s6 ( - .F(qdy_10), - .I0(n317_9), - .I1(n316_6), - .I2(qdy) +defparam n4207_s33.INIT=4'h8; + LUT3 n7272_s80 ( + .F(n7272_110), + .I0(sx_Z[1]), + .I1(sx_Z[2]), + .I2(sx_Z[3]) ); -defparam qdy_s6.INIT=8'h70; - LUT4 n3710_s35 ( - .F(n3710_48), +defparam n7272_s80.INIT=8'hE7; + LUT2 n7272_s81 ( + .F(n7272_111), .I0(sy_Z[2]), - .I1(sy_Z[3]), - .I2(sy_Z[1]), - .I3(sy_Z[4]) + .I1(sy_Z[3]) +); +defparam n7272_s81.INIT=4'h8; + LUT3 n7272_s82 ( + .F(n7272_112), + .I0(n7272_115), + .I1(n7272_116), + .I2(sy_Z[3]) +); +defparam n7272_s82.INIT=8'hCA; + LUT4 n7272_s83 ( + .F(n7272_113), + .I0(n7272_104), + .I1(n7272_117), + .I2(sx_Z[1]), + .I3(sx_Z[2]) ); -defparam n3710_s35.INIT=16'h007F; - LUT4 n3881_s3 ( - .F(n3881_7), - .I0(n3881_8), - .I1(sx_Z[5]), +defparam n7272_s83.INIT=16'hF50C; + LUT4 n7272_s84 ( + .F(n7272_114), + .I0(n7272_118), + .I1(n7272_102), + .I2(sx_Z[2]), + .I3(sx_Z[1]) +); +defparam n7272_s84.INIT=16'h5FC0; + LUT4 n7421_s74 ( + .F(n7421_103), + .I0(n7421_105), + .I1(n7421_106), + .I2(sx_Z[2]), + .I3(sy_Z[3]) +); +defparam n7421_s74.INIT=16'h0305; + LUT4 n7421_s75 ( + .F(n7421_104), + .I0(n7421_107), + .I1(n7421_108), + .I2(n7421_109), + .I3(sx_Z[3]) +); +defparam n7421_s75.INIT=16'h0FBB; + LUT4 n4347_s3 ( + .F(n4347_7), + .I0(sx_Z[1]), + .I1(sx_Z[0]), + .I2(sx_Z[3]), + .I3(sx_Z[2]) +); +defparam n4347_s3.INIT=16'h0007; + LUT4 n4347_s4 ( + .F(n4347_8), + .I0(sx_Z[6]), + .I1(sx_Z[3]), .I2(sx_Z[4]), - .I3(sx_Z[6]) + .I3(sx_Z[5]) ); -defparam n3881_s3.INIT=16'hBFC4; +defparam n4347_s4.INIT=16'hF400; + LUT3 n4347_s5 ( + .F(n4347_9), + .I0(sx_Z[7]), + .I1(sx_Z[8]), + .I2(sx_Z[9]) +); +defparam n4347_s5.INIT=8'h01; LUT3 n155_s4 ( - .F(n155_8), + .F(n155_21), .I0(qxb[4]), .I1(qxb[5]), .I2(qxb[6]) ); defparam n155_s4.INIT=8'h80; - LUT2 n192_s4 ( - .F(n192_7), - .I0(qxb[0]), - .I1(qxb[1]) -); -defparam n192_s4.INIT=4'h1; - LUT2 n316_s6 ( - .F(n316_9), - .I0(qyb[0]), - .I1(qyb[1]) -); -defparam n316_s6.INIT=4'h1; - LUT3 n3294_s14 ( - .F(n3294_17), - .I0(n3294_36), - .I1(qxb[5]), - .I2(square_33) + LUT4 n6843_s18 ( + .F(n6843_23), + .I0(n6843_25), + .I1(score_counter[5]), + .I2(score_counter[7]), + .I3(score_counter[3]) +); +defparam n6843_s18.INIT=16'hB66D; + LUT4 n6843_s19 ( + .F(n6843_24), + .I0(score_counter[7]), + .I1(n6843_26), + .I2(score_counter[5]), + .I3(score_counter[4]) +); +defparam n6843_s19.INIT=16'h4000; + LUT4 n6846_s9 ( + .F(n6846_15), + .I0(score_counter[2]), + .I1(score_counter[4]), + .I2(score_counter[6]), + .I3(n6846_20) +); +defparam n6846_s9.INIT=16'hFB2F; + LUT4 n6846_s10 ( + .F(n6846_16), + .I0(score_counter[6]), + .I1(score_counter[5]), + .I2(score_counter[3]), + .I3(n6843_25) +); +defparam n6846_s10.INIT=16'h7CEF; + LUT4 n6846_s11 ( + .F(n6846_17), + .I0(n6846_21), + .I1(score_counter[4]), + .I2(score_counter[7]), + .I3(score_counter[2]) +); +defparam n6846_s11.INIT=16'h0140; + LUT4 n6846_s12 ( + .F(n6846_18), + .I0(score_counter[7]), + .I1(score_counter[5]), + .I2(score_counter[3]), + .I3(score_counter[6]) +); +defparam n6846_s12.INIT=16'hDB04; + LUT4 n6846_s13 ( + .F(n6846_19), + .I0(n6843_24), + .I1(n6846_22), + .I2(n6846_23), + .I3(score_counter[6]) +); +defparam n6846_s13.INIT=16'hEE0F; + LUT4 n190_s7 ( + .F(n190_10), + .I0(n192_4), + .I1(n10669_9), + .I2(qxb[4]), + .I3(n190_11) ); -defparam n3294_s14.INIT=8'hB2; - LUT3 n3294_s15 ( - .F(n3294_18), - .I0(qx[5]), - .I1(n3294_37), - .I2(qx[6]) +defparam n190_s7.INIT=16'hCA00; + LUT2 n315_s6 ( + .F(n315_9), + .I0(qyb[3]), + .I1(qyb[6]) ); -defparam n3294_s15.INIT=8'h1E; - LUT2 n3294_s16 ( - .F(n3294_19), +defparam n315_s6.INIT=4'h8; + LUT3 n3744_s15 ( + .F(n3744_18), .I0(qxb[7]), - .I1(n3294_20) + .I1(ball_43), + .I2(qxb[8]) ); -defparam n3294_s16.INIT=4'h2; - LUT4 n3294_s17 ( - .F(n3294_20), - .I0(qx[5]), - .I1(qx[6]), - .I2(n3294_37), - .I3(qx[7]) +defparam n3744_s15.INIT=8'h87; + LUT4 n3744_s16 ( + .F(n3744_19), + .I0(qx[7]), + .I1(n3744_28), + .I2(ball_43), + .I3(qxb[7]) ); -defparam n3294_s17.INIT=16'h01FE; - LUT4 n3294_s19 ( - .F(n3294_22), - .I0(qx[6]), - .I1(n3294_38), - .I2(n3294_62), - .I3(n9050_9) +defparam n3744_s16.INIT=16'h4DD4; + LUT3 n3744_s17 ( + .F(n3744_20), + .I0(qx[9]), + .I1(qxb[9]), + .I2(n3744_60) ); -defparam n3294_s19.INIT=16'hFEA8; - LUT4 n3294_s20 ( - .F(n3294_23), +defparam n3744_s17.INIT=8'h2B; + LUT4 n3744_s18 ( + .F(n3744_21), .I0(qxb[9]), - .I1(n9048_7), + .I1(n3744_60), .I2(qx[9]), - .I3(n3294_40) -); -defparam n3294_s20.INIT=16'hEF00; - LUT4 n3294_s21 ( - .F(n3294_24), - .I0(n3294_41), - .I1(qxb[9]), - .I2(n9048_7), - .I3(qx[9]) -); -defparam n3294_s21.INIT=16'hE8FE; - LUT4 n3294_s22 ( - .F(n3294_25), - .I0(qxb[8]), - .I1(qx[8]), - .I2(square_20), - .I3(qxb[9]) -); -defparam n3294_s22.INIT=16'hBF02; - LUT4 n3294_s23 ( - .F(n3294_26), - .I0(qx[8]), - .I1(square_20), - .I2(qxb[9]), - .I3(qxb[8]) -); -defparam n3294_s23.INIT=16'h1000; - LUT4 n3294_s24 ( - .F(n3294_27), - .I0(n9054_8), - .I1(qyb[0]), - .I2(qyb[7]), - .I3(n3294_42) + .I3(n3744_30) +); +defparam n3744_s18.INIT=16'h00EF; + LUT4 n3744_s19 ( + .F(n3744_22), + .I0(n3744_31), + .I1(n3744_32), + .I2(n3744_33), + .I3(n3744_34) +); +defparam n3744_s19.INIT=16'h3500; + LUT3 n3744_s20 ( + .F(n3744_23), + .I0(n10658_5), + .I1(n10658_6), + .I2(bricks_active[1]) +); +defparam n3744_s20.INIT=8'hE0; + LUT4 n3744_s21 ( + .F(n3744_24), + .I0(n10659_8), + .I1(n155_21), + .I2(bricks_active[0]), + .I3(n10659_5) +); +defparam n3744_s21.INIT=16'hB000; + LUT4 n3744_s22 ( + .F(n3744_25), + .I0(n3744_35), + .I1(n10657_5), + .I2(n3741_4), + .I3(n3744_36) +); +defparam n3744_s22.INIT=16'h0B00; + LUT4 n3744_s24 ( + .F(n3744_27), + .I0(bricks_active[4]), + .I1(n10667_4), + .I2(n10661_10), + .I3(n10661_6) +); +defparam n3744_s24.INIT=16'h8000; + LUT2 n3735_s16 ( + .F(n3735_19), + .I0(n10669_4), + .I1(n3735_33) +); +defparam n3735_s16.INIT=4'h8; + LUT3 n3735_s17 ( + .F(n3735_20), + .I0(n3735_33), + .I1(n10670_4), + .I2(n3735_34) +); +defparam n3735_s17.INIT=8'h78; + LUT4 n3735_s20 ( + .F(n3735_23), + .I0(n3739_7), + .I1(n3737_30), + .I2(n3737_20), + .I3(n3735_50) +); +defparam n3735_s20.INIT=16'h4000; + LUT4 n3735_s21 ( + .F(n3735_24), + .I0(n3739_7), + .I1(n3737_22), + .I2(n3735_35), + .I3(n3735_50) +); +defparam n3735_s21.INIT=16'hF400; + LUT2 n3735_s23 ( + .F(n3735_26), + .I0(score_counter[5]), + .I1(n3735_34) +); +defparam n3735_s23.INIT=4'h2; + LUT3 n3735_s24 ( + .F(n3735_27), + .I0(n3738_6), + .I1(score_counter[3]), + .I2(n3737_18) +); +defparam n3735_s24.INIT=8'hD8; + LUT4 n3735_s25 ( + .F(n3735_28), + .I0(n3739_13), + .I1(n3735_36), + .I2(n3738_6), + .I3(score_counter[3]) +); +defparam n3735_s25.INIT=16'hF400; + LUT3 n3735_s28 ( + .F(n3735_31), + .I0(n3738_8), + .I1(n3739_7), + .I2(n3737_36) +); +defparam n3735_s28.INIT=8'h0D; + LUT3 n3735_s29 ( + .F(n3735_32), + .I0(n3737_34), + .I1(score_counter[1]), + .I2(n3741_11) +); +defparam n3735_s29.INIT=8'h15; + LUT2 n3737_s14 ( + .F(n3737_17), + .I0(score_counter[1]), + .I1(score_counter[0]) +); +defparam n3737_s14.INIT=4'h8; + LUT4 n3737_s15 ( + .F(n3737_18), + .I0(score_counter[0]), + .I1(n10668_4), + .I2(n3737_10), + .I3(n3739_13) +); +defparam n3737_s15.INIT=16'h0080; + LUT4 n3737_s17 ( + .F(n3737_20), + .I0(bricks_active[8]), + .I1(n10657_5), + .I2(n10664_5), + .I3(n10660_5) +); +defparam n3737_s17.INIT=16'hF800; + LUT4 n3737_s18 ( + .F(n3737_21), + .I0(n3737_17), + .I1(n10665_4), + .I2(n3737_10), + .I3(n3735_35) +); +defparam n3737_s18.INIT=16'h007F; + LUT4 n3737_s19 ( + .F(n3737_22), + .I0(score_counter[3]), + .I1(score_counter[0]), + .I2(n10661_4), + .I3(n3739_13) +); +defparam n3737_s19.INIT=16'h0080; + LUT3 n3738_s5 ( + .F(n3738_8), + .I0(score_counter[0]), + .I1(n10661_4), + .I2(n3739_13) +); +defparam n3738_s5.INIT=8'h08; + LUT3 n3739_s13 ( + .F(n3739_16), + .I0(n3744_36), + .I1(n10663_4), + .I2(n3741_23) +); +defparam n3739_s13.INIT=8'h02; + LUT4 n3739_s14 ( + .F(n3739_17), + .I0(n10659_8), + .I1(n155_21), + .I2(bricks_active[0]), + .I3(score_counter[0]) +); +defparam n3739_s14.INIT=16'hB000; + LUT4 n3741_s12 ( + .F(n3741_15), + .I0(qxb[1]), + .I1(qxb[0]), + .I2(qxb[2]), + .I3(qxb[3]) ); -defparam n3294_s24.INIT=16'h7000; - LUT4 n3294_s25 ( - .F(n3294_28), - .I0(n9049_4), - .I1(bricks_active[16]), - .I2(n9053_4), - .I3(n9049_5) +defparam n3741_s12.INIT=16'h007F; + LUT4 n3741_s13 ( + .F(n3741_16), + .I0(n3741_24), + .I1(qyb[7]), + .I2(qyb[6]), + .I3(qyb[5]) ); -defparam n3294_s25.INIT=16'hF800; - LUT4 n3294_s26 ( - .F(n3294_29), - .I0(bricks_active[10]), - .I1(n9055_4), - .I2(n3294_66), - .I3(n9049_4) -); -defparam n3294_s26.INIT=16'hF800; - LUT3 n3294_s27 ( - .F(n3294_30), - .I0(n3294_44), - .I1(n9050_7), - .I2(n9050_4) -); -defparam n3294_s27.INIT=8'h10; - LUT4 n3294_s28 ( - .F(n3294_31), - .I0(bricks_active[7]), - .I1(n9055_4), - .I2(n3294_45), - .I3(n9052_5) -); -defparam n3294_s28.INIT=16'hF800; - LUT4 n3294_s29 ( - .F(n3294_32), - .I0(n9060_4), - .I1(n9048_4), - .I2(n9054_4), - .I3(n9048_5) -); -defparam n3294_s29.INIT=16'h00FE; - LUT3 n3294_s30 ( - .F(n3294_33), - .I0(n9057_4), - .I1(n3294_46), - .I2(n9051_5) -); -defparam n3294_s30.INIT=8'hB0; - LUT2 n3294_s31 ( - .F(n3294_34), - .I0(n3294_47), - .I1(n9065_4) -); -defparam n3294_s31.INIT=4'h1; - LUT3 n3294_s32 ( - .F(n3294_35), - .I0(n9056_4), - .I1(n9050_7), - .I2(n9059_4) -); -defparam n3294_s32.INIT=8'h0D; - LUT4 n9048_s6 ( - .F(n9048_9), - .I0(qxb[0]), - .I1(qxb[1]), - .I2(qxb[3]), - .I3(qxb[2]) +defparam n3741_s13.INIT=16'hDFF3; + LUT4 n3741_s14 ( + .F(n3741_17), + .I0(qxb[5]), + .I1(qxb[6]), + .I2(qxb[4]), + .I3(qxb[7]) ); -defparam n9048_s6.INIT=16'h0001; - LUT4 n9048_s7 ( - .F(n9048_10), +defparam n3741_s14.INIT=16'hFE00; + LUT3 n3741_s16 ( + .F(n3741_19), + .I0(score_counter[0]), + .I1(n10668_4), + .I2(n10669_4) +); +defparam n3741_s16.INIT=8'h69; + LUT4 n3741_s17 ( + .F(n3741_20), + .I0(n10660_5), + .I1(n10657_5), + .I2(bricks_active[8]), + .I3(n10670_4) +); +defparam n3741_s17.INIT=16'h007F; + LUT2 n3741_s18 ( + .F(n3741_21), + .I0(score_counter[0]), + .I1(n10662_4) +); +defparam n3741_s18.INIT=4'h8; + LUT2 n3741_s19 ( + .F(n3741_22), + .I0(n10660_4), + .I1(n10661_4) +); +defparam n3741_s19.INIT=4'h1; + LUT4 n3741_s20 ( + .F(n3741_23), + .I0(n10664_5), + .I1(n10660_5), + .I2(n10666_4), + .I3(n10665_4) +); +defparam n3741_s20.INIT=16'hFCB8; + LUT4 n10654_s4 ( + .F(n10654_7), .I0(qxb[3]), .I1(qxb[1]), .I2(qxb[2]), .I3(qxb[5]) ); -defparam n9048_s7.INIT=16'h007F; - LUT4 n9049_s8 ( - .F(n9049_11), - .I0(qxb[5]), - .I1(qxb[3]), - .I2(qxb[1]), - .I3(qxb[2]) -); -defparam n9049_s8.INIT=16'h2BBB; - LUT4 n9050_s5 ( - .F(n9050_8), - .I0(qxb[3]), - .I1(n9050_10), - .I2(qxb[4]), - .I3(qxb[5]) -); -defparam n9050_s5.INIT=16'h4000; - LUT4 n9050_s6 ( - .F(n9050_9), - .I0(n9048_9), - .I1(qxb[4]), - .I2(qxb[5]), - .I3(qxb[6]) -); -defparam n9050_s6.INIT=16'h40BF; - LUT4 n9051_s3 ( - .F(n9051_6), - .I0(n9051_7), +defparam n10654_s4.INIT=16'h007F; + LUT3 n10654_s5 ( + .F(n10654_8), + .I0(qxb[7]), .I1(qxb[8]), - .I2(qxb[6]), - .I3(qxb[7]) -); -defparam n9051_s3.INIT=16'hEFF3; - LUT4 n9052_s3 ( - .F(n9052_6), - .I0(n9048_9), - .I1(qxb[7]), - .I2(n155_8), - .I3(qxb[8]) + .I2(qxb[9]) ); -defparam n9052_s3.INIT=16'h40BF; - LUT4 n9052_s4 ( - .F(n9052_7), - .I0(n9051_7), - .I1(n9052_9), - .I2(qxb[7]), - .I3(qxb[6]) +defparam n10654_s5.INIT=8'h10; + LUT4 n10658_s4 ( + .F(n10658_7), + .I0(qxb[3]), + .I1(qxb[1]), + .I2(qxb[2]), + .I3(qxb[4]) ); -defparam n9052_s4.INIT=16'hD000; - LUT4 n9052_s5 ( - .F(n9052_8), - .I0(qxb[7]), - .I1(n9052_10), - .I2(qxb[9]), - .I3(n155_8) +defparam n10658_s4.INIT=16'hFE00; + LUT3 n10658_s5 ( + .F(n10658_8), + .I0(qxb[8]), + .I1(qxb[9]), + .I2(qxb[7]) ); -defparam n9052_s5.INIT=16'h0C0A; - LUT2 n9053_s4 ( - .F(n9053_7), +defparam n10658_s5.INIT=8'h10; + LUT4 n10658_s6 ( + .F(n10658_9), .I0(qxb[0]), - .I1(qxb[1]) + .I1(qxb[1]), + .I2(qxb[2]), + .I3(qxb[3]) ); -defparam n9053_s4.INIT=4'h8; - LUT4 n9053_s5 ( - .F(n9053_8), +defparam n10658_s6.INIT=16'h01FE; + LUT4 n10659_s4 ( + .F(n10659_7), .I0(qxb[2]), .I1(qxb[1]), .I2(qxb[4]), .I3(qxb[3]) ); -defparam n9053_s5.INIT=16'hE000; - LUT2 n9054_s4 ( - .F(n9054_7), - .I0(qyb[5]), - .I1(qyb[2]) +defparam n10659_s4.INIT=16'hE000; + LUT4 n10659_s5 ( + .F(n10659_8), + .I0(qxb[1]), + .I1(qxb[0]), + .I2(qxb[3]), + .I3(qxb[2]) ); -defparam n9054_s4.INIT=4'h1; - LUT4 n9054_s5 ( - .F(n9054_8), +defparam n10659_s5.INIT=16'h0007; + LUT4 n10660_s3 ( + .F(n10660_6), .I0(qyb[1]), .I1(qyb[3]), - .I2(qyb[5]), - .I3(qyb[2]) + .I2(qyb[2]), + .I3(qyb[5]) ); -defparam n9054_s5.INIT=16'h8000; - LUT2 n9059_s3 ( - .F(n9059_6), - .I0(bricks_active[6]), - .I1(qyb[6]) +defparam n10660_s3.INIT=16'h7F01; + LUT4 n10660_s4 ( + .F(n10660_7), + .I0(qyb[7]), + .I1(qyb[8]), + .I2(qyb[9]), + .I3(qyb[6]) ); -defparam n9059_s3.INIT=4'h8; - LUT4 n11097_s18 ( - .F(n11097_21), - .I0(n11097_34), - .I1(sx_Z[4]), - .I2(sx_Z[5]), - .I3(sx_Z[6]) +defparam n10660_s4.INIT=16'h0100; + LUT4 n10661_s4 ( + .F(n10661_7), + .I0(qxb[1]), + .I1(qxb[2]), + .I2(qxb[3]), + .I3(qxb[4]) +); +defparam n10661_s4.INIT=16'hF800; + LUT4 n10661_s5 ( + .F(n10661_8), + .I0(qxb[0]), + .I1(qxb[1]), + .I2(qxb[3]), + .I3(qxb[2]) +); +defparam n10661_s5.INIT=16'h8000; + LUT2 n10669_s5 ( + .F(n10669_8), + .I0(qxb[3]), + .I1(qxb[4]) +); +defparam n10669_s5.INIT=4'h8; + LUT4 n10669_s6 ( + .F(n10669_9), + .I0(qxb[0]), + .I1(qxb[1]), + .I2(qxb[3]), + .I3(qxb[2]) +); +defparam n10669_s6.INIT=16'h0001; + LUT4 n12765_s17 ( + .F(n12765_20), + .I0(n12765_28), + .I1(score_area_1_7), + .I2(sx_Z[6]), + .I3(sx_Z[7]) ); -defparam n11097_s18.INIT=16'h2FD0; - LUT4 n11097_s19 ( - .F(n11097_22), +defparam n12765_s17.INIT=16'hF38F; + LUT4 n12765_s18 ( + .F(n12765_21), .I0(sx_Z[7]), .I1(sx_Z[8]), .I2(sx_Z[9]), - .I3(n11097_35) + .I3(n12765_29) ); -defparam n11097_s19.INIT=16'h1000; - LUT4 n11097_s20 ( - .F(n11097_23), +defparam n12765_s18.INIT=16'h1000; + LUT4 n12765_s19 ( + .F(n12765_22), .I0(sx_Z[8]), .I1(sx_Z[9]), - .I2(n11097_31), - .I3(sx_Z[7]) + .I2(sx_Z[7]), + .I3(n12765_30) ); -defparam n11097_s20.INIT=16'h1000; - LUT3 n11097_s21 ( - .F(n11097_24), - .I0(n11097_36), +defparam n12765_s19.INIT=16'h1000; + LUT4 n12765_s20 ( + .F(n12765_23), + .I0(n12765_31), .I1(sx_Z[9]), - .I2(sx_Z[8]) + .I2(sx_Z[8]), + .I3(sx_Z[7]) ); -defparam n11097_s21.INIT=8'h10; - LUT4 n11097_s22 ( - .F(n11097_25), - .I0(n11097_37), - .I1(sx_Z[9]), - .I2(sx_Z[7]), - .I3(n11097_38) +defparam n12765_s20.INIT=16'h2000; + LUT4 n12765_s21 ( + .F(n12765_24), + .I0(n12765_49), + .I1(n12765_33), + .I2(n12765_34), + .I3(sx_Z[6]) ); -defparam n11097_s22.INIT=16'h0130; - LUT4 n11097_s23 ( - .F(n11097_26), - .I0(sy_Z[1]), - .I1(sy_Z[2]), - .I2(sy_Z[3]), - .I3(sy_Z[4]) +defparam n12765_s21.INIT=16'hBB0F; + LUT4 n12765_s22 ( + .F(n12765_25), + .I0(sy_Z[7]), + .I1(sy_Z[8]), + .I2(sy_Z[9]), + .I3(sy_Z[6]) ); -defparam n11097_s23.INIT=16'h8000; - LUT2 n11097_s24 ( - .F(n11097_27), - .I0(sy_Z[5]), - .I1(sy_Z[6]) +defparam n12765_s22.INIT=16'h0100; + LUT4 n12765_s23 ( + .F(n12765_26), + .I0(n12765_21), + .I1(bricks_active[17]), + .I2(bricks_active[13]), + .I3(n12765_22) ); -defparam n11097_s24.INIT=4'h1; - LUT4 n11097_s25 ( - .F(n11097_28), - .I0(sy_Z[2]), - .I1(sy_Z[3]), - .I2(sy_Z[4]), - .I3(sy_Z[5]) -); -defparam n11097_s25.INIT=16'h8000; - LUT2 n11097_s26 ( - .F(n11097_29), - .I0(sy_Z[8]), - .I1(sy_Z[9]) -); -defparam n11097_s26.INIT=4'h1; - LUT3 n11097_s27 ( - .F(n11097_30), - .I0(n3710_48), - .I1(sy_Z[4]), - .I2(sy_Z[5]) +defparam n12765_s23.INIT=16'h0777; + LUT3 n12765_s24 ( + .F(n12765_27), + .I0(n12765_24), + .I1(bricks_active[14]), + .I2(n12765_35) +); +defparam n12765_s24.INIT=8'hB0; + LUT3 n7055_s26 ( + .F(n7055_35), + .I0(score_counter[6]), + .I1(score_counter[5]), + .I2(score_counter[4]) +); +defparam n7055_s26.INIT=8'h3D; + LUT3 n7055_s27 ( + .F(n7055_36), + .I0(n7053), + .I1(score_counter[4]), + .I2(score_counter[3]) +); +defparam n7055_s27.INIT=8'hCA; + LUT4 square_s10 ( + .F(square_14), + .I0(square_22), + .I1(sx_Z[6]), + .I2(square_23), + .I3(qx[6]) ); -defparam n11097_s27.INIT=8'h53; - LUT4 n11097_s28 ( - .F(n11097_31), - .I0(n11097_39), - .I1(n11097_40), - .I2(sx_Z[5]), - .I3(sx_Z[6]) +defparam square_s10.INIT=16'h1F00; + LUT3 square_s11 ( + .F(square_15), + .I0(sx_Z[6]), + .I1(square_18), + .I2(square_24) ); -defparam n11097_s28.INIT=16'h03F5; - LUT4 n11097_s29 ( - .F(n11097_32), +defparam square_s11.INIT=8'hC5; + LUT4 square_s12 ( + .F(square_16), .I0(sx_Z[8]), - .I1(sx_Z[9]), - .I2(bricks_active[13]), - .I3(sx_Z[7]) -); -defparam n11097_s29.INIT=16'h1000; - LUT4 n11097_s30 ( - .F(n11097_33), - .I0(sy_Z[1]), - .I1(sy_Z[2]), - .I2(sy_Z[3]), - .I3(sy_Z[4]) + .I1(square_11), + .I2(sx_Z[7]), + .I3(square_41) ); -defparam n11097_s30.INIT=16'h0001; - LUT3 square_s13 ( +defparam square_s12.INIT=16'hF800; + LUT4 square_s13 ( .F(square_17), - .I0(sx_Z[4]), - .I1(square_22), - .I2(square_23) + .I0(square_11), + .I1(sx_Z[8]), + .I2(sx_Z[7]), + .I3(square_41) +); +defparam square_s13.INIT=16'hEEE0; + LUT3 square_s14 ( + .F(square_18), + .I0(qx[5]), + .I1(qx[6]), + .I2(square_39) +); +defparam square_s14.INIT=8'h01; + LUT3 square_s15 ( + .F(square_19), + .I0(qx[7]), + .I1(sx_Z[7]), + .I2(square_18) ); -defparam square_s13.INIT=8'hE8; +defparam square_s15.INIT=8'hD4; LUT4 square_s16 ( .F(square_20), - .I0(qx[6]), - .I1(qx[5]), - .I2(n3294_37), - .I3(qx[7]) + .I0(qx[7]), + .I1(sx_Z[7]), + .I2(square_18), + .I3(sx_Z[8]) ); -defparam square_s16.INIT=16'hFE00; +defparam square_s16.INIT=16'h02BF; LUT4 square_s17 ( .F(square_21), - .I0(n11097_27), - .I1(sy_Z[0]), - .I2(sy_Z[7]), - .I3(sy_Z[8]) -); -defparam square_s17.INIT=16'hD000; - LUT4 ball_s10 ( - .F(ball_14), - .I0(sx_Z[5]), - .I1(ball_15), - .I2(ball_16), - .I3(ball_17) -); -defparam ball_s10.INIT=16'hFEA8; - LUT4 n3741_s34 ( - .F(n3741_41), - .I0(n3741_48), + .I0(sy_Z[1]), .I1(sy_Z[4]), - .I2(qyb[4]), - .I3(n3741_55) + .I2(sy_Z[0]), + .I3(n7272_111) ); -defparam n3741_s34.INIT=16'h8EE8; - LUT3 n3741_s35 ( - .F(n3741_42), - .I0(n3741_55), - .I1(qyb[4]), - .I2(qyb[5]) -); -defparam n3741_s35.INIT=8'h4B; - LUT3 n3741_s36 ( - .F(n3741_43), - .I0(qyb[6]), - .I1(n3741_57), - .I2(sy_Z[6]) +defparam square_s17.INIT=16'h8000; + LUT3 ball_s12 ( + .F(ball_16), + .I0(qxb[7]), + .I1(ball_43), + .I2(sx_Z[7]) ); -defparam n3741_s36.INIT=8'h90; - LUT3 n3741_s38 ( - .F(n3741_45), - .I0(qyb[6]), - .I1(qyb[7]), - .I2(sy_Z[7]) +defparam ball_s12.INIT=8'h8E; + LUT4 ball_s13 ( + .F(ball_17), + .I0(ball_39), + .I1(ball_23), + .I2(ball_24), + .I3(ball_25) +); +defparam ball_s13.INIT=16'h001F; + LUT4 ball_s14 ( + .F(ball_18), + .I0(qxb[7]), + .I1(ball_43), + .I2(sx_Z[7]), + .I3(sx_Z[8]) ); -defparam n3741_s38.INIT=8'hD3; - LUT3 n3741_s39 ( - .F(n3741_46), - .I0(sy_Z[7]), - .I1(qyb[7]), - .I2(n3741_59) +defparam ball_s14.INIT=16'h08EF; + LUT3 ball_s15 ( + .F(ball_19), + .I0(qxb[8]), + .I1(qxb[7]), + .I2(ball_43) ); -defparam n3741_s39.INIT=8'h97; - LUT4 n3881_s4 ( - .F(n3881_8), - .I0(sx_Z[2]), - .I1(n3881_9), - .I2(sx_Z[4]), - .I3(sx_Z[3]) +defparam ball_s15.INIT=8'h7C; + LUT4 ball_s17 ( + .F(ball_21), + .I0(n3744_60), + .I1(sx_Z[8]), + .I2(ball_19), + .I3(sx_Z[7]) ); -defparam n3881_s4.INIT=16'h001F; - LUT4 n3294_s33 ( - .F(n3294_36), - .I0(n3294_48), - .I1(n3294_49), - .I2(square_22), - .I3(qxb[4]) +defparam ball_s17.INIT=16'hF007; + LUT2 score_area_1_s6 ( + .F(score_area_1_10), + .I0(sy_Z[5]), + .I1(sy_Z[6]) ); -defparam n3294_s33.INIT=16'h0EEE; - LUT3 n3294_s34 ( - .F(n3294_37), - .I0(qx[2]), - .I1(qx[3]), - .I2(qx[4]) -); -defparam n3294_s34.INIT=8'h80; - LUT4 n3294_s35 ( - .F(n3294_38), - .I0(n3294_50), - .I1(n3294_51), - .I2(n3294_52), - .I3(n3294_60) -); -defparam n3294_s35.INIT=16'h00F4; - LUT4 n3294_s37 ( - .F(n3294_40), - .I0(n9052_6), - .I1(qx[8]), - .I2(ball_11), - .I3(qx[7]) +defparam score_area_1_s6.INIT=4'h1; + LUT4 n4207_s34 ( + .F(n4207_41), + .I0(n4207_76), + .I1(n4207_72), + .I2(n4207_74), + .I3(sy_Z[5]) ); -defparam n3294_s37.INIT=16'h0777; - LUT2 n3294_s38 ( - .F(n3294_41), - .I0(qx[8]), - .I1(n9052_6) +defparam n4207_s34.INIT=16'h00F4; + LUT4 n4207_s35 ( + .F(n4207_42), + .I0(n4207_74), + .I1(n4207_70), + .I2(n4207_49), + .I3(n4207_72) +); +defparam n4207_s35.INIT=16'h05CF; + LUT4 n4207_s36 ( + .F(n4207_43), + .I0(n4207_50), + .I1(n4207_51), + .I2(qyb[6]), + .I3(n4207_80) +); +defparam n4207_s36.INIT=16'h00F1; + LUT4 n7272_s85 ( + .F(n7272_115), + .I0(n7272_119), + .I1(\score_1[3] [2]), + .I2(sy_Z[1]), + .I3(sy_Z[2]) ); -defparam n3294_s38.INIT=4'h1; - LUT4 n3294_s39 ( - .F(n3294_42), - .I0(qyb[9]), - .I1(qyb[4]), - .I2(qyb[5]), - .I3(qyb[8]) +defparam n7272_s85.INIT=16'h3553; + LUT4 n7272_s86 ( + .F(n7272_116), + .I0(sy_Z[1]), + .I1(\score_1[6] [2]), + .I2(\score_1[5] [2]), + .I3(sy_Z[2]) ); -defparam n3294_s39.INIT=16'h1400; - LUT4 n3294_s41 ( - .F(n3294_44), - .I0(n9049_5), - .I1(bricks_active[15]), - .I2(bricks_active[3]), - .I3(n9061_4) -); -defparam n3294_s41.INIT=16'h0777; - LUT2 n3294_s42 ( - .F(n3294_45), - .I0(bricks_active[13]), - .I1(n9049_5) -); -defparam n3294_s42.INIT=4'h8; - LUT4 n3294_s43 ( - .F(n3294_46), - .I0(n9049_5), - .I1(bricks_active[14]), - .I2(bricks_active[2]), - .I3(n9061_4) -); -defparam n3294_s43.INIT=16'h0777; - LUT4 n3294_s44 ( - .F(n3294_47), - .I0(n9052_6), - .I1(n9052_7), - .I2(n9052_8), - .I3(n9064_4) -); -defparam n3294_s44.INIT=16'h2000; - LUT3 n9050_s7 ( - .F(n9050_10), - .I0(qxb[0]), - .I1(qxb[1]), - .I2(qxb[2]) +defparam n7272_s86.INIT=16'hBB0F; + LUT4 n7272_s87 ( + .F(n7272_117), + .I0(sy_Z[2]), + .I1(\score_1[5] [3]), + .I2(n7272_90), + .I3(sy_Z[3]) ); -defparam n9050_s7.INIT=8'h7E; - LUT3 n9051_s4 ( - .F(n9051_7), - .I0(qxb[4]), - .I1(qxb[3]), - .I2(qxb[5]) +defparam n7272_s87.INIT=16'hBB0F; + LUT4 n7272_s88 ( + .F(n7272_118), + .I0(sy_Z[2]), + .I1(n7272_120), + .I2(n7272_88), + .I3(sy_Z[3]) +); +defparam n7272_s88.INIT=16'hBB0F; + LUT4 n7421_s76 ( + .F(n7421_105), + .I0(n7421_110), + .I1(\score[3] [2]), + .I2(sy_Z[1]), + .I3(sy_Z[2]) ); -defparam n9051_s4.INIT=8'h07; - LUT3 n9052_s6 ( - .F(n9052_9), - .I0(qxb[2]), - .I1(qxb[1]), - .I2(qxb[4]) +defparam n7421_s76.INIT=16'h3553; + LUT4 n7421_s77 ( + .F(n7421_106), + .I0(sy_Z[1]), + .I1(\score[6] [2]), + .I2(\score[5] [2]), + .I3(sy_Z[2]) +); +defparam n7421_s77.INIT=16'hBB0F; + LUT4 n7421_s78 ( + .F(n7421_107), + .I0(n7421_83), + .I1(n7421_111), + .I2(sy_Z[3]), + .I3(n7421_112) ); -defparam n9052_s6.INIT=8'hE0; - LUT4 n9052_s7 ( - .F(n9052_10), - .I0(qxb[1]), - .I1(qxb[0]), - .I2(qxb[2]), - .I3(qxb[3]) +defparam n7421_s78.INIT=16'h3500; + LUT4 n7421_s79 ( + .F(n7421_108), + .I0(n7421_95), + .I1(n7421_97), + .I2(sx_Z[1]), + .I3(sx_Z[2]) ); -defparam n9052_s7.INIT=16'hFE00; - LUT4 n11097_s31 ( - .F(n11097_34), - .I0(n3881_9), - .I1(sx_Z[6]), - .I2(sx_Z[3]), +defparam n7421_s79.INIT=16'hFCA0; + LUT4 n7421_s80 ( + .F(n7421_109), + .I0(n7421_113), + .I1(n7421_99), + .I2(sx_Z[1]), .I3(sx_Z[2]) ); -defparam n11097_s31.INIT=16'h4CCF; - LUT4 n11097_s32 ( - .F(n11097_35), - .I0(sx_Z[3]), - .I1(n11097_41), - .I2(n11097_42), - .I3(sx_Z[6]) +defparam n7421_s80.INIT=16'h0C05; + LUT2 n6843_s20 ( + .F(n6843_25), + .I0(score_counter[4]), + .I1(score_counter[2]) +); +defparam n6843_s20.INIT=4'h4; + LUT2 n6843_s21 ( + .F(n6843_26), + .I0(score_counter[3]), + .I1(score_counter[2]) +); +defparam n6843_s21.INIT=4'h1; + LUT3 n6846_s14 ( + .F(n6846_20), + .I0(score_counter[6]), + .I1(score_counter[5]), + .I2(score_counter[3]) +); +defparam n6846_s14.INIT=8'hE3; + LUT3 n6846_s15 ( + .F(n6846_21), + .I0(score_counter[6]), + .I1(score_counter[3]), + .I2(score_counter[5]) +); +defparam n6846_s15.INIT=8'hE7; + LUT4 n6846_s16 ( + .F(n6846_22), + .I0(score_counter[5]), + .I1(score_counter[4]), + .I2(score_counter[7]), + .I3(n3737_10) +); +defparam n6846_s16.INIT=16'h1000; + LUT3 n6846_s17 ( + .F(n6846_23), + .I0(n6846_24), + .I1(score_counter[2]), + .I2(score_counter[4]) +); +defparam n6846_s17.INIT=8'hE7; + LUT2 n190_s8 ( + .F(n190_11), + .I0(qxb[5]), + .I1(qxb[6]) +); +defparam n190_s8.INIT=4'h8; + LUT4 n3744_s25 ( + .F(n3744_28), + .I0(n3744_37), + .I1(n3744_38), + .I2(n3744_39), + .I3(n3744_40) +); +defparam n3744_s25.INIT=16'hF100; + LUT3 n3744_s27 ( + .F(n3744_30), + .I0(n3744_62), + .I1(qyb[4]), + .I2(qyb[5]) ); -defparam n11097_s32.INIT=16'hC4BF; - LUT4 n11097_s33 ( - .F(n11097_36), - .I0(n11097_41), - .I1(n11097_43), - .I2(sx_Z[6]), - .I3(sx_Z[7]) +defparam n3744_s27.INIT=8'hE3; + LUT3 n3744_s28 ( + .F(n3744_31), + .I0(n3744_42), + .I1(qxb[8]), + .I2(qx[8]) ); -defparam n11097_s33.INIT=16'hF5CF; - LUT3 n11097_s34 ( - .F(n11097_37), - .I0(n11097_44), - .I1(sx_Z[4]), - .I2(sx_Z[5]) +defparam n3744_s28.INIT=8'h4D; + LUT3 n3744_s29 ( + .F(n3744_32), + .I0(qxb[7]), + .I1(qxb[8]), + .I2(square_11) +); +defparam n3744_s29.INIT=8'h10; + LUT4 n3744_s30 ( + .F(n3744_33), + .I0(n3744_43), + .I1(n3744_44), + .I2(n3744_45), + .I3(n3744_46) +); +defparam n3744_s30.INIT=16'h00F1; + LUT4 n3744_s31 ( + .F(n3744_34), + .I0(qxb[8]), + .I1(qx[8]), + .I2(square_18), + .I3(n3744_47) ); -defparam n11097_s34.INIT=8'h40; - LUT4 n11097_s35 ( - .F(n11097_38), - .I0(n11097_45), - .I1(sx_Z[7]), - .I2(sx_Z[8]), - .I3(sx_Z[6]) +defparam n3744_s31.INIT=16'h5DCF; + LUT4 n3744_s32 ( + .F(n3744_35), + .I0(n3741_30), + .I1(bricks_active[14]), + .I2(bricks_active[8]), + .I3(n10660_5) +); +defparam n3744_s32.INIT=16'h0777; + LUT3 n3744_s33 ( + .F(n3744_36), + .I0(n10660_4), + .I1(n10662_4), + .I2(n10661_4) +); +defparam n3744_s33.INIT=8'h01; + LUT4 n3735_s30 ( + .F(n3735_33), + .I0(score_counter[3]), + .I1(score_counter[2]), + .I2(score_counter[1]), + .I3(score_counter[0]) +); +defparam n3735_s30.INIT=16'h8000; + LUT4 n3735_s31 ( + .F(n3735_34), + .I0(n3735_38), + .I1(n10667_4), + .I2(n10659_5), + .I3(score_counter[4]) +); +defparam n3735_s31.INIT=16'h807F; + LUT2 n3735_s32 ( + .F(n3735_35), + .I0(n3735_33), + .I1(n10662_4) +); +defparam n3735_s32.INIT=4'h8; + LUT4 n3735_s33 ( + .F(n3735_36), + .I0(score_counter[0]), + .I1(n10666_4), + .I2(n10668_4), + .I3(score_counter[2]) +); +defparam n3735_s33.INIT=16'hE800; + LUT4 n3735_s34 ( + .F(n3735_37), + .I0(score_counter[5]), + .I1(score_counter[4]), + .I2(n3735_33), + .I3(n10671_4) +); +defparam n3735_s34.INIT=16'h8000; + LUT3 n3741_s21 ( + .F(n3741_24), + .I0(qyb[2]), + .I1(qyb[3]), + .I2(qyb[4]) ); -defparam n11097_s35.INIT=16'hC4FC; - LUT4 n11097_s36 ( - .F(n11097_39), +defparam n3741_s21.INIT=8'hE0; + LUT4 n12765_s25 ( + .F(n12765_28), .I0(sx_Z[1]), - .I1(sx_Z[2]), - .I2(sx_Z[0]), - .I3(n11097_46) + .I1(sx_Z[0]), + .I2(sx_Z[2]), + .I3(sx_Z[3]) ); -defparam n11097_s36.INIT=16'h7F00; - LUT4 n11097_s37 ( - .F(n11097_40), +defparam n12765_s25.INIT=16'h001F; + LUT4 n12765_s26 ( + .F(n12765_29), + .I0(n4347_7), + .I1(n12765_47), + .I2(score_area_1_7), + .I3(sx_Z[6]) +); +defparam n12765_s26.INIT=16'h30DF; + LUT4 n12765_s27 ( + .F(n12765_30), .I0(sx_Z[3]), - .I1(sx_Z[1]), - .I2(sx_Z[2]), - .I3(sx_Z[4]) + .I1(n12765_45), + .I2(sx_Z[6]), + .I3(n12765_38) +); +defparam n12765_s27.INIT=16'hFE0F; + LUT4 n12765_s28 ( + .F(n12765_31), + .I0(n12765_39), + .I1(n12765_40), + .I2(sx_Z[5]), + .I3(sx_Z[6]) +); +defparam n12765_s28.INIT=16'hAF30; + LUT4 n12765_s30 ( + .F(n12765_33), + .I0(sx_Z[8]), + .I1(sx_Z[9]), + .I2(sx_Z[5]), + .I3(sx_Z[7]) +); +defparam n12765_s30.INIT=16'h1000; + LUT4 n12765_s31 ( + .F(n12765_34), + .I0(sx_Z[7]), + .I1(sx_Z[9]), + .I2(n12765_41), + .I3(sx_Z[8]) ); -defparam n11097_s37.INIT=16'hFE00; - LUT3 square_s18 ( +defparam n12765_s31.INIT=16'h0100; + LUT4 n12765_s32 ( + .F(n12765_35), + .I0(n12765_23), + .I1(bricks_active[16]), + .I2(bricks_active[12]), + .I3(n4347_6) +); +defparam n12765_s32.INIT=16'h0777; + LUT2 square_s18 ( .F(square_22), - .I0(qx[2]), - .I1(qx[3]), - .I2(qx[4]) + .I0(qx[5]), + .I1(sx_Z[5]) ); -defparam square_s18.INIT=8'h87; +defparam square_s18.INIT=4'h4; LUT4 square_s19 ( .F(square_23), - .I0(qx[2]), - .I1(sx_Z[3]), - .I2(square_24), - .I3(qx[3]) + .I0(square_22), + .I1(square_27), + .I2(square_39), + .I3(square_28) ); -defparam square_s19.INIT=16'hACC5; - LUT3 ball_s11 ( - .F(ball_15), - .I0(qxb[4]), - .I1(n9048_9), - .I2(sx_Z[4]) +defparam square_s19.INIT=16'h8CCF; + LUT4 square_s20 ( + .F(square_24), + .I0(square_43), + .I1(sx_Z[5]), + .I2(square_28), + .I3(sx_Z[6]) ); -defparam ball_s11.INIT=8'h60; - LUT4 ball_s12 ( - .F(ball_16), - .I0(ball_24), - .I1(sx_Z[3]), - .I2(ball_19), - .I3(ball_20) +defparam square_s20.INIT=16'hF34D; + LUT4 ball_s19 ( + .F(ball_23), + .I0(ball_27), + .I1(sx_Z[4]), + .I2(qxb[4]), + .I3(n10669_9) ); -defparam ball_s12.INIT=16'h004D; - LUT3 ball_s13 ( - .F(ball_17), - .I0(n9048_9), - .I1(qxb[4]), - .I2(qxb[5]) +defparam ball_s19.INIT=16'h8EE8; + LUT3 ball_s20 ( + .F(ball_24), + .I0(ball_41), + .I1(sx_Z[6]), + .I2(ball_37) ); -defparam ball_s13.INIT=8'h4B; - LUT3 n3741_s41 ( - .F(n3741_48), - .I0(sy_Z[3]), - .I1(n3741_49), - .I2(n3741_53) +defparam ball_s20.INIT=8'h0E; + LUT2 ball_s21 ( + .F(ball_25), + .I0(ball_41), + .I1(sx_Z[6]) ); -defparam n3741_s41.INIT=8'hB2; - LUT2 n3881_s5 ( - .F(n3881_9), - .I0(sx_Z[0]), - .I1(sx_Z[1]) +defparam ball_s21.INIT=4'h8; + LUT3 n4207_s42 ( + .F(n4207_49), + .I0(sy_Z[5]), + .I1(n4207_70), + .I2(sy_Z[6]) ); -defparam n3881_s5.INIT=4'h8; - LUT4 n3294_s45 ( - .F(n3294_48), - .I0(qxb[2]), - .I1(n3294_54), - .I2(qx[2]), - .I3(n3294_55) +defparam n4207_s42.INIT=8'h07; + LUT4 n4207_s43 ( + .F(n4207_50), + .I0(n4207_56), + .I1(n4207_57), + .I2(n4207_55), + .I3(n4207_58) +); +defparam n4207_s43.INIT=16'hEF00; + LUT4 n4207_s44 ( + .F(n4207_51), + .I0(n4207_57), + .I1(n4207_56), + .I2(n4207_59), + .I3(n4207_55) +); +defparam n4207_s44.INIT=16'h000E; + LUT2 n4207_s47 ( + .F(n4207_54), + .I0(qyb[0]), + .I1(qyb[1]) ); -defparam n3294_s45.INIT=16'h4300; - LUT4 n3294_s46 ( - .F(n3294_49), - .I0(qx[2]), - .I1(qx[3]), - .I2(n3294_56), - .I3(n3294_57) +defparam n4207_s47.INIT=4'h1; + LUT3 n7272_s89 ( + .F(n7272_119), + .I0(\score_1[2] [2]), + .I1(\score_1[1] [2]), + .I2(sy_Z[1]) +); +defparam n7272_s89.INIT=8'hCA; + LUT3 n7272_s90 ( + .F(n7272_120), + .I0(\score_1[4] [4]), + .I1(\score_1[5] [4]), + .I2(sy_Z[1]) +); +defparam n7272_s90.INIT=8'hCA; + LUT3 n7421_s81 ( + .F(n7421_110), + .I0(\score[2] [2]), + .I1(\score[1] [2]), + .I2(sy_Z[1]) +); +defparam n7421_s81.INIT=8'hCA; + LUT4 n7421_s82 ( + .F(n7421_111), + .I0(\score[4] [4]), + .I1(\score[5] [4]), + .I2(sy_Z[2]), + .I3(sy_Z[1]) +); +defparam n7421_s82.INIT=16'h0C0A; + LUT2 n7421_s83 ( + .F(n7421_112), + .I0(sx_Z[1]), + .I1(sx_Z[2]) ); -defparam n3294_s46.INIT=16'hE177; - LUT4 n3294_s47 ( - .F(n3294_50), - .I0(qx[2]), - .I1(n3294_55), - .I2(qxb[2]), - .I3(n3294_54) +defparam n7421_s83.INIT=4'h8; + LUT4 n7421_s84 ( + .F(n7421_113), + .I0(sy_Z[2]), + .I1(\score[5] [3]), + .I2(n7421_85), + .I3(sy_Z[3]) +); +defparam n7421_s84.INIT=16'hBB0F; + LUT4 n6846_s18 ( + .F(n6846_24), + .I0(score_counter[4]), + .I1(score_counter[7]), + .I2(score_counter[3]), + .I3(score_counter[5]) +); +defparam n6846_s18.INIT=16'h7E17; + LUT2 n3744_s34 ( + .F(n3744_37), + .I0(qx[5]), + .I1(qx[6]) ); -defparam n3294_s47.INIT=16'h0071; - LUT4 n3294_s48 ( - .F(n3294_51), - .I0(qx[4]), - .I1(qxb[4]), - .I2(qx[3]), - .I3(qxb[3]) +defparam n3744_s34.INIT=4'h8; + LUT4 n3744_s35 ( + .F(n3744_38), + .I0(qx[6]), + .I1(qx[5]), + .I2(ball_26), + .I3(ball_41) +); +defparam n3744_s35.INIT=16'hFCA0; + LUT4 n3744_s36 ( + .F(n3744_39), + .I0(n3744_48), + .I1(n10669_9), + .I2(qx[4]), + .I3(qxb[4]) ); -defparam n3294_s48.INIT=16'hE0EE; - LUT2 n3294_s49 ( - .F(n3294_52), - .I0(qxb[4]), - .I1(qx[4]) +defparam n3744_s36.INIT=16'hCEAF; + LUT4 n3744_s37 ( + .F(n3744_40), + .I0(qx[6]), + .I1(ball_41), + .I2(ball_26), + .I3(qx[5]) ); -defparam n3294_s49.INIT=4'h8; - LUT2 n11097_s38 ( - .F(n11097_41), - .I0(sx_Z[4]), - .I1(sx_Z[5]) +defparam n3744_s37.INIT=16'h1777; + LUT3 n3744_s39 ( + .F(n3744_42), + .I0(qx[7]), + .I1(qxb[7]), + .I2(square_18) ); -defparam n11097_s38.INIT=4'h1; - LUT4 n11097_s39 ( - .F(n11097_42), - .I0(sx_Z[0]), - .I1(sx_Z[2]), - .I2(sx_Z[3]), - .I3(sx_Z[1]) +defparam n3744_s39.INIT=8'h2B; + LUT4 n3744_s40 ( + .F(n3744_43), + .I0(qxb[5]), + .I1(qx[5]), + .I2(qx[6]), + .I3(square_39) +); +defparam n3744_s40.INIT=16'h0B0C; + LUT4 n3744_s41 ( + .F(n3744_44), + .I0(square_39), + .I1(n3744_49), + .I2(qxb[6]), + .I3(qx[5]) ); -defparam n11097_s39.INIT=16'h31F3; - LUT4 n11097_s40 ( - .F(n11097_43), - .I0(sx_Z[2]), - .I1(n11097_47), - .I2(sx_Z[5]), - .I3(n11097_46) +defparam n3744_s41.INIT=16'h70C4; + LUT4 n3744_s42 ( + .F(n3744_45), + .I0(n3744_66), + .I1(qxb[4]), + .I2(n3744_51), + .I3(n3744_52) ); -defparam n11097_s40.INIT=16'h0D00; - LUT4 n11097_s41 ( - .F(n11097_44), - .I0(sx_Z[0]), - .I1(sx_Z[1]), - .I2(sx_Z[3]), - .I3(sx_Z[2]) +defparam n3744_s42.INIT=16'h0017; + LUT4 n3744_s43 ( + .F(n3744_46), + .I0(qx[5]), + .I1(square_39), + .I2(qx[6]), + .I3(qxb[6]) ); -defparam n11097_s41.INIT=16'h0001; - LUT4 n11097_s42 ( - .F(n11097_45), - .I0(sx_Z[2]), - .I1(sx_Z[1]), - .I2(n11097_46), +defparam n3744_s43.INIT=16'h1E00; + LUT4 n3744_s44 ( + .F(n3744_47), + .I0(qx[8]), + .I1(qxb[8]), + .I2(qxb[7]), + .I3(qx[7]) +); +defparam n3744_s44.INIT=16'h73E5; + LUT4 n3735_s35 ( + .F(n3735_38), + .I0(n10659_8), + .I1(n155_21), + .I2(bricks_active[0]), + .I3(n3735_33) +); +defparam n3735_s35.INIT=16'hB000; + LUT4 n12765_s35 ( + .F(n12765_38), + .I0(sx_Z[6]), + .I1(n12765_42), + .I2(sx_Z[4]), .I3(sx_Z[5]) ); -defparam n11097_s42.INIT=16'h8F00; - LUT2 n11097_s43 ( - .F(n11097_46), +defparam n12765_s35.INIT=16'h008F; + LUT4 n12765_s36 ( + .F(n12765_39), .I0(sx_Z[3]), - .I1(sx_Z[4]) -); -defparam n11097_s43.INIT=4'h1; - LUT3 square_s20 ( - .F(square_24), - .I0(qx[2]), - .I1(sx_Z[2]), - .I2(square_25) + .I1(sx_Z[0]), + .I2(n7421_112), + .I3(sx_Z[4]) ); -defparam square_s20.INIT=8'hBD; - LUT3 ball_s15 ( - .F(ball_19), - .I0(ball_21), - .I1(ball_22), +defparam n12765_s36.INIT=16'h007F; + LUT3 n12765_s37 ( + .F(n12765_40), + .I0(sx_Z[3]), + .I1(sx_Z[4]), .I2(sx_Z[2]) ); -defparam ball_s15.INIT=8'h2B; - LUT3 ball_s16 ( - .F(ball_20), - .I0(sx_Z[4]), +defparam n12765_s37.INIT=8'h01; + LUT3 n12765_s38 ( + .F(n12765_41), + .I0(n12765_43), + .I1(sx_Z[4]), + .I2(sx_Z[5]) +); +defparam n12765_s38.INIT=8'h40; + LUT3 square_s23 ( + .F(square_27), + .I0(sx_Z[5]), + .I1(qx[5]), + .I2(sx_Z[6]) +); +defparam square_s23.INIT=8'hB0; + LUT4 square_s24 ( + .F(square_28), + .I0(square_31), + .I1(square_32), + .I2(square_33), + .I3(square_34) +); +defparam square_s24.INIT=16'h0700; + LUT2 square_s26 ( + .F(square_30), + .I0(qx[2]), + .I1(qx[3]) +); +defparam square_s26.INIT=4'h8; + LUT3 ball_s22 ( + .F(ball_26), + .I0(n10669_9), .I1(qxb[4]), - .I2(n9048_9) + .I2(qxb[5]) ); -defparam ball_s16.INIT=8'h41; - LUT4 n3741_s42 ( - .F(n3741_49), - .I0(n3741_51), - .I1(sy_Z[2]), - .I2(qyb[2]), - .I3(n316_9) +defparam ball_s22.INIT=8'h4B; + LUT4 ball_s23 ( + .F(ball_27), + .I0(sx_Z[3]), + .I1(n12765_45), + .I2(n10658_9), + .I3(ball_30) +); +defparam ball_s23.INIT=16'hFAE8; + LUT2 n4207_s48 ( + .F(n4207_55), + .I0(n4207_68), + .I1(qyb[4]) +); +defparam n4207_s48.INIT=4'h4; + LUT4 n4207_s49 ( + .F(n4207_56), + .I0(sy_Z[3]), + .I1(n4207_60), + .I2(n4207_61), + .I3(n4207_62) +); +defparam n4207_s49.INIT=16'h0B00; + LUT3 n4207_s50 ( + .F(n4207_57), + .I0(qyb[4]), + .I1(n4207_68), + .I2(sy_Z[4]) +); +defparam n4207_s50.INIT=8'h60; + LUT2 n4207_s51 ( + .F(n4207_58), + .I0(qyb[5]), + .I1(sy_Z[5]) ); -defparam n3741_s42.INIT=16'h2BB2; - LUT2 n3294_s51 ( - .F(n3294_54), +defparam n4207_s51.INIT=4'h4; + LUT2 n4207_s52 ( + .F(n4207_59), + .I0(sy_Z[5]), + .I1(qyb[5]) +); +defparam n4207_s52.INIT=4'h4; + LUT3 n3744_s45 ( + .F(n3744_48), .I0(qx[3]), - .I1(qxb[3]) + .I1(qxb[3]), + .I2(n3744_53) ); -defparam n3294_s51.INIT=4'h6; - LUT4 n3294_s52 ( - .F(n3294_55), - .I0(qx[1]), - .I1(qx[0]), - .I2(qxb[1]), - .I3(qxb[0]) +defparam n3744_s45.INIT=8'h4D; + LUT4 n3744_s46 ( + .F(n3744_49), + .I0(qx[5]), + .I1(qx[6]), + .I2(qxb[6]), + .I3(qxb[5]) ); -defparam n3294_s52.INIT=16'h8EAF; - LUT4 n3294_s53 ( - .F(n3294_56), - .I0(qxb[2]), - .I1(qx[2]), - .I2(qx[3]), - .I3(qxb[3]) +defparam n3744_s46.INIT=16'h5CE0; + LUT4 n3744_s48 ( + .F(n3744_51), + .I0(qx[2]), + .I1(qxb[3]), + .I2(n3744_54), + .I3(qx[3]) ); -defparam n3294_s53.INIT=16'hD3FE; - LUT2 n3294_s54 ( - .F(n3294_57), +defparam n3744_s48.INIT=16'hACC5; + LUT4 n3744_s49 ( + .F(n3744_52), .I0(qx[4]), - .I1(qxb[4]) + .I1(square_30), + .I2(qx[5]), + .I3(qxb[5]) ); -defparam n3294_s54.INIT=4'h9; - LUT2 n11097_s44 ( - .F(n11097_47), +defparam n3744_s49.INIT=16'h7800; + LUT3 n12765_s39 ( + .F(n12765_42), + .I0(sx_Z[1]), + .I1(sx_Z[3]), + .I2(sx_Z[2]) +); +defparam n12765_s39.INIT=8'h01; + LUT4 n12765_s40 ( + .F(n12765_43), .I0(sx_Z[0]), - .I1(sx_Z[1]) + .I1(sx_Z[1]), + .I2(sx_Z[3]), + .I3(sx_Z[2]) +); +defparam n12765_s40.INIT=16'h0001; + LUT4 square_s27 ( + .F(square_31), + .I0(qx[4]), + .I1(square_30), + .I2(sx_Z[4]), + .I3(sx_Z[3]) +); +defparam square_s27.INIT=16'hF100; + LUT4 square_s28 ( + .F(square_32), + .I0(sx_Z[2]), + .I1(square_35), + .I2(qx[3]), + .I3(qx[2]) +); +defparam square_s28.INIT=16'hEE8F; + LUT4 square_s29 ( + .F(square_33), + .I0(square_36), + .I1(qx[4]), + .I2(sx_Z[4]), + .I3(square_30) +); +defparam square_s29.INIT=16'hD400; + LUT4 square_s30 ( + .F(square_34), + .I0(qx[4]), + .I1(qx[2]), + .I2(sx_Z[4]), + .I3(square_37) +); +defparam square_s30.INIT=16'hAFCE; + LUT4 ball_s26 ( + .F(ball_30), + .I0(qxb[0]), + .I1(ball_31), + .I2(qxb[1]), + .I3(ball_32) +); +defparam ball_s26.INIT=16'h03FA; + LUT4 n4207_s53 ( + .F(n4207_60), + .I0(n4207_63), + .I1(n319_6), + .I2(n4207_64), + .I3(sy_Z[2]) +); +defparam n4207_s53.INIT=16'h32F3; + LUT2 n4207_s54 ( + .F(n4207_61), + .I0(n4207_65), + .I1(qyb[3]) +); +defparam n4207_s54.INIT=4'h4; + LUT4 n4207_s55 ( + .F(n4207_62), + .I0(n4207_78), + .I1(sy_Z[4]), + .I2(qyb[4]), + .I3(n4207_68) ); -defparam n11097_s44.INIT=4'h1; - LUT4 square_s21 ( - .F(square_25), +defparam n4207_s55.INIT=16'h8AFC; + LUT4 n3744_s50 ( + .F(n3744_53), + .I0(qx[2]), + .I1(n3744_55), + .I2(n3744_56), + .I3(qxb[2]) +); +defparam n3744_s50.INIT=16'hA8FE; + LUT4 n3744_s51 ( + .F(n3744_54), + .I0(n3744_55), + .I1(n3744_56), + .I2(qx[2]), + .I3(qxb[2]) +); +defparam n3744_s51.INIT=16'hFE1F; + LUT4 square_s31 ( + .F(square_35), .I0(qx[1]), .I1(sx_Z[1]), .I2(sx_Z[0]), .I3(qx[0]) ); -defparam square_s21.INIT=16'hD4DD; - LUT4 ball_s17 ( - .F(ball_21), - .I0(sx_Z[0]), - .I1(qxb[0]), - .I2(sx_Z[1]), - .I3(qxb[1]) +defparam square_s31.INIT=16'hD4DD; + LUT3 square_s32 ( + .F(square_36), + .I0(sx_Z[2]), + .I1(square_35), + .I2(sx_Z[3]) +); +defparam square_s32.INIT=8'h01; + LUT4 square_s33 ( + .F(square_37), + .I0(sx_Z[2]), + .I1(square_35), + .I2(qx[2]), + .I3(qx[3]) ); -defparam ball_s17.INIT=16'h0D13; - LUT3 ball_s18 ( - .F(ball_22), +defparam square_s33.INIT=16'h0FF7; + LUT4 ball_s27 ( + .F(ball_31), .I0(qxb[0]), + .I1(sx_Z[1]), + .I2(sx_Z[0]), + .I3(sx_Z[2]) +); +defparam ball_s27.INIT=16'h00BF; + LUT4 ball_s28 ( + .F(ball_32), + .I0(ball_33), .I1(qxb[1]), - .I2(qxb[2]) + .I2(sx_Z[2]), + .I3(qxb[2]) +); +defparam ball_s28.INIT=16'hBF08; + LUT4 n4207_s56 ( + .F(n4207_63), + .I0(qyb[1]), + .I1(qyb[0]), + .I2(qyb[2]), + .I3(qyb[3]) ); -defparam ball_s18.INIT=8'h1E; - LUT4 n3741_s44 ( - .F(n3741_51), +defparam n4207_s56.INIT=16'hFE00; + LUT4 n4207_s57 ( + .F(n4207_64), .I0(sy_Z[0]), .I1(sy_Z[1]), .I2(qyb[0]), .I3(qyb[1]) ); -defparam n3741_s44.INIT=16'h3107; - LUT4 n3294_s56 ( - .F(n3294_60), - .I0(n9048_9), - .I1(qxb[5]), - .I2(qx[5]), - .I3(qxb[4]) +defparam n4207_s57.INIT=16'h3107; + LUT4 n4207_s58 ( + .F(n4207_65), + .I0(sy_Z[2]), + .I1(n4207_54), + .I2(qyb[2]), + .I3(n4207_64) ); -defparam n3294_s56.INIT=16'hEB3C; - LUT4 n3294_s57 ( - .F(n3294_62), - .I0(n9048_9), - .I1(qxb[4]), - .I2(qxb[5]), - .I3(qx[5]) +defparam n4207_s58.INIT=16'h8EEF; + LUT3 n3744_s52 ( + .F(n3744_55), + .I0(qx[0]), + .I1(qxb[0]), + .I2(qxb[1]) +); +defparam n3744_s52.INIT=8'h0B; + LUT4 n3744_s53 ( + .F(n3744_56), + .I0(qx[0]), + .I1(qxb[0]), + .I2(qxb[1]), + .I3(qx[1]) +); +defparam n3744_s53.INIT=16'hBF00; + LUT3 ball_s29 ( + .F(ball_33), + .I0(sx_Z[0]), + .I1(qxb[0]), + .I2(sx_Z[1]) +); +defparam ball_s29.INIT=8'h0D; + LUT4 ball_s30 ( + .F(ball_35), + .I0(ball_11), + .I1(ball_43), + .I2(sx_Z[9]), + .I3(qxb[9]) +); +defparam ball_s30.INIT=16'h7077; + LUT3 n3735_s36 ( + .F(n3735_40), + .I0(n3735_44), + .I1(n3735_37), + .I2(score_counter[6]) +); +defparam n3735_s36.INIT=8'h69; + LUT4 n3744_s54 ( + .F(n3744_58), + .I0(n10658_4), + .I1(n3744_25), + .I2(n10659_4), + .I3(n3741_23) +); +defparam n3744_s54.INIT=16'h0004; + LUT4 n3736_s1 ( + .F(n3736_5), + .I0(n3737_7), + .I1(n3735_42), + .I2(n3735_46), + .I3(n3735_7) +); +defparam n3736_s1.INIT=16'h40BF; + LUT4 n3735_s37 ( + .F(n3735_42), + .I0(score_counter[0]), + .I1(n10654_4), + .I2(n3741_6), + .I3(n3739_10) +); +defparam n3735_s37.INIT=16'hF080; + LUT3 n3739_s16 ( + .F(n3739_20), + .I0(n3741_6), + .I1(score_counter[0]), + .I2(n10654_4) +); +defparam n3739_s16.INIT=8'h80; + LUT4 n10654_s6 ( + .F(n10654_10), + .I0(n191_6), + .I1(n187_6), + .I2(qxb[9]), + .I3(qxb[8]) +); +defparam n10654_s6.INIT=16'h0400; + LUT3 n3741_s22 ( + .F(n3741_26), + .I0(n3741_17), + .I1(qxb[9]), + .I2(qxb[8]) +); +defparam n3741_s22.INIT=8'h10; + LUT4 n3738_s7 ( + .F(n3738_11), + .I0(score_counter[2]), + .I1(n3739_13), + .I2(score_counter[0]), + .I3(n10662_4) +); +defparam n3738_s7.INIT=16'h2000; + LUT4 n4207_s60 ( + .F(n4207_68), + .I0(qyb[3]), + .I1(qyb[2]), + .I2(qyb[0]), + .I3(qyb[1]) +); +defparam n4207_s60.INIT=16'h0001; + LUT3 n6927_s1 ( + .F(n6927_5), + .I0(n6880_4), + .I1(n6848), + .I2(n6925_4) +); +defparam n6927_s1.INIT=8'hBA; + LUT4 n6960_s2 ( + .F(n6960_7), + .I0(n6847), + .I1(n6846), + .I2(n6843), + .I3(n6958_6) +); +defparam n6960_s2.INIT=16'h0B00; + LUT3 n7108_s1 ( + .F(n7108_5), + .I0(n7061_6), + .I1(n7056), + .I2(n7106_4) +); +defparam n7108_s1.INIT=8'hEA; + LUT3 n3744_s55 ( + .F(n3744_60), + .I0(ball_43), + .I1(qxb[7]), + .I2(qxb[8]) +); +defparam n3744_s55.INIT=8'h80; + LUT4 n10661_s6 ( + .F(n10661_10), + .I0(qxb[9]), + .I1(n155_21), + .I2(qxb[7]), + .I3(qxb[8]) ); -defparam n3294_s57.INIT=16'h4B00; - LUT4 n9052_s8 ( - .F(n9052_12), +defparam n10661_s6.INIT=16'h1000; + LUT4 n10663_s2 ( + .F(n10663_6), .I0(frame_Z), - .I1(n9052_6), - .I2(n9052_7), - .I3(n9052_8) -); -defparam n9052_s8.INIT=16'h0800; - LUT4 n9057_s2 ( - .F(n9057_6), - .I0(n9054_5), .I1(bricks_active[8]), - .I2(n9054_6), - .I3(n9051_4) + .I2(n10660_5), + .I3(n10657_5) +); +defparam n10663_s2.INIT=16'h8000; + LUT4 n10664_s3 ( + .F(n10664_7), + .I0(n10660_5), + .I1(n10658_5), + .I2(n10658_6), + .I3(bricks_active[7]) +); +defparam n10664_s3.INIT=16'hA800; + LUT4 n155_s6 ( + .F(n155_24), + .I0(qxb[6]), + .I1(qxb[4]), + .I2(qxb[5]), + .I3(n191_6) ); -defparam n9057_s2.INIT=16'h4000; - LUT4 square_s22 ( - .F(square_27), - .I0(qx[9]), - .I1(qx[8]), - .I2(square_20), - .I3(sx_Z[9]) +defparam n155_s6.INIT=16'h0100; + LUT4 n4207_s61 ( + .F(n4207_70), + .I0(n4207_68), + .I1(qyb[4]), + .I2(qyb[5]), + .I3(qyb[6]) ); -defparam square_s22.INIT=16'h80EA; - LUT3 n11097_s45 ( - .F(n11097_49), - .I0(n11097_26), - .I1(sy_Z[5]), - .I2(sy_Z[6]) +defparam n4207_s61.INIT=16'h4000; + LUT4 n3744_s56 ( + .F(n3744_62), + .I0(qyb[3]), + .I1(qyb[2]), + .I2(qyb[0]), + .I3(qyb[1]) ); -defparam n11097_s45.INIT=8'h01; - LUT3 n11097_s46 ( - .F(n11097_51), - .I0(n11097_28), - .I1(sy_Z[8]), - .I2(sy_Z[9]) +defparam n3744_s56.INIT=16'h8000; + LUT4 n3735_s38 ( + .F(n3735_44), + .I0(n3735_20), + .I1(n10669_4), + .I2(n3735_33), + .I3(n3735_26) +); +defparam n3735_s38.INIT=16'hEA00; + LUT4 n3737_s20 ( + .F(n3737_24), + .I0(n10669_4), + .I1(n3735_33), + .I2(n3735_20), + .I3(n3737_18) +); +defparam n3737_s20.INIT=16'h8778; + LUT4 n3735_s39 ( + .F(n3735_46), + .I0(score_counter[4]), + .I1(score_counter[3]), + .I2(n3739_12), + .I3(n3739_22) +); +defparam n3735_s39.INIT=16'h0028; + LUT4 n3741_s23 ( + .F(n3741_28), + .I0(n3744_58), + .I1(n3744_68), + .I2(n3744_27), + .I3(n3741_19) +); +defparam n3741_s23.INIT=16'h56A9; + LUT4 n3735_s40 ( + .F(n3735_48), + .I0(n3739_13), + .I1(n10658_4), + .I2(n3741_19), + .I3(n10657_4) +); +defparam n3735_s40.INIT=16'h4504; + LUT4 n317_s9 ( + .F(n317_13), + .I0(qdy), + .I1(n319_6), + .I2(qyb[4]), + .I3(qyb[5]) ); -defparam n11097_s46.INIT=8'h01; - LUT4 ball_s19 ( - .F(ball_24), - .I0(qxb[2]), - .I1(qxb[0]), - .I2(qxb[1]), - .I3(qxb[3]) +defparam n317_s9.INIT=16'h0008; + LUT3 n315_s7 ( + .F(n315_11), + .I0(qyb[6]), + .I1(qyb[4]), + .I2(qyb[5]) ); -defparam ball_s19.INIT=16'hFE01; - LUT4 n192_s5 ( - .F(n192_9), - .I0(qxb[0]), - .I1(qxb[1]), - .I2(qxb[2]), - .I3(qxb[3]) +defparam n315_s7.INIT=8'h01; + LUT3 n3744_s57 ( + .F(n3744_64), + .I0(n3744_21), + .I1(qyb[7]), + .I2(qyb[8]) ); -defparam n192_s5.INIT=16'h001F; - LUT4 square_s23 ( - .F(square_29), - .I0(sx_Z[6]), - .I1(qx[5]), - .I2(n3294_37), - .I3(qx[6]) +defparam n3744_s57.INIT=8'h80; + LUT4 n4207_s62 ( + .F(n4207_72), + .I0(n4207_56), + .I1(qyb[4]), + .I2(n4207_68), + .I3(sy_Z[4]) ); -defparam square_s23.INIT=16'h5401; - LUT4 n9050_s8 ( - .F(n9050_12), - .I0(n9050_8), - .I1(n9050_9), - .I2(ball_11), - .I3(frame_Z) +defparam n4207_s62.INIT=16'h4155; + LUT4 n6923_s2 ( + .F(n6923_7), + .I0(n6878_4), + .I1(n6880_4), + .I2(n6885_4), + .I3(n6909_5) +); +defparam n6923_s2.INIT=16'h0100; + LUT4 n6929_s1 ( + .F(n6929_5), + .I0(n6895_6), + .I1(n6878_4), + .I2(n6880_4), + .I3(n6885_4) +); +defparam n6929_s1.INIT=16'hFFFE; + LUT4 n7066_s5 ( + .F(n7066_9), + .I0(n7055), + .I1(n7054), + .I2(n7052), + .I3(n7053) +); +defparam n7066_s5.INIT=16'h0200; + LUT3 score_area_1_s7 ( + .F(score_area_1_12), + .I0(sx_Z[4]), + .I1(sx_Z[5]), + .I2(score_area_1_8) ); -defparam n9050_s8.INIT=16'h1F00; - LUT4 n9063_s1 ( - .F(n9063_5), - .I0(bricks_active[2]), - .I1(n9061_4), - .I2(frame_Z), - .I3(n9051_5) -); -defparam n9063_s1.INIT=16'h8000; - LUT4 n9051_s5 ( - .F(n9051_9), - .I0(bricks_active[14]), - .I1(n9049_5), - .I2(frame_Z), - .I3(n9051_5) +defparam score_area_1_s7.INIT=8'hEF; + LUT4 ball_s31 ( + .F(ball_37), + .I0(sx_Z[5]), + .I1(n10669_9), + .I2(qxb[4]), + .I3(qxb[5]) +); +defparam ball_s31.INIT=16'h4510; + LUT4 ball_s32 ( + .F(ball_39), + .I0(n10669_9), + .I1(qxb[4]), + .I2(qxb[5]), + .I3(sx_Z[5]) +); +defparam ball_s32.INIT=16'h4B00; + LUT4 ball_s33 ( + .F(ball_41), + .I0(n10669_9), + .I1(qxb[4]), + .I2(qxb[5]), + .I3(qxb[6]) +); +defparam ball_s33.INIT=16'h40BF; + LUT3 n190_s9 ( + .F(n190_13), + .I0(n192_4), + .I1(qxb[4]), + .I2(qxb[5]) +); +defparam n190_s9.INIT=8'h80; + LUT4 n191_s5 ( + .F(n191_9), + .I0(n155_24), + .I1(qxb[7]), + .I2(qxb[8]), + .I3(qxb[9]) +); +defparam n191_s5.INIT=16'h0002; + LUT3 n3741_s24 ( + .F(n3741_30), + .I0(n3741_16), + .I1(qyb[8]), + .I2(qyb[9]) +); +defparam n3741_s24.INIT=8'h01; + LUT3 n319_s5 ( + .F(n319_9), + .I0(n315_6), + .I1(qyb[8]), + .I2(qyb[9]) ); -defparam n9051_s5.INIT=16'h8000; - LUT3 square_s24 ( - .F(square_31), - .I0(qx[8]), - .I1(square_20), - .I2(sx_Z[8]) +defparam n319_s5.INIT=8'h02; + LUT4 n4207_s63 ( + .F(n4207_74), + .I0(qyb[5]), + .I1(n4207_68), + .I2(qyb[4]), + .I3(qyb[6]) ); -defparam square_s24.INIT=8'h90; - LUT4 n3294_s58 ( - .F(n3294_64), - .I0(qx[7]), - .I1(n9048_9), - .I2(n155_8), - .I3(qxb[7]) +defparam n4207_s63.INIT=16'h9A00; + LUT4 n4207_s64 ( + .F(n4207_76), + .I0(qyb[5]), + .I1(n4207_68), + .I2(qyb[4]), + .I3(qyb[6]) ); -defparam n3294_s58.INIT=16'h4510; - LUT4 square_s25 ( - .F(square_33), - .I0(qx[5]), - .I1(qx[2]), - .I2(qx[3]), - .I3(qx[4]) +defparam n4207_s64.INIT=16'hBADF; + LUT4 n6913_s2 ( + .F(n6913_7), + .I0(n6878_4), + .I1(n6885_4), + .I2(n6895_6), + .I3(n6906_5) +); +defparam n6913_s2.INIT=16'h0100; + LUT3 n6905_s2 ( + .F(n6905_7), + .I0(n6885_4), + .I1(n6895_6), + .I2(n6906_5) +); +defparam n6905_s2.INIT=8'h10; + LUT3 n6970_s2 ( + .F(n6970_7), + .I0(n6888_5), + .I1(n6878_4), + .I2(n6906_5) +); +defparam n6970_s2.INIT=8'h10; + LUT3 n6962_s2 ( + .F(n6962_7), + .I0(n6885_4), + .I1(n6878_4), + .I2(n6906_5) +); +defparam n6962_s2.INIT=8'h10; + LUT4 n6921_s2 ( + .F(n6921_7), + .I0(n6880_4), + .I1(n6895_6), + .I2(n6878_4), + .I3(n6906_5) +); +defparam n6921_s2.INIT=16'h0100; + LUT4 n367_s6 ( + .F(n367_11), + .I0(qyb[0]), + .I1(n3744_8), + .I2(qdy), + .I3(n319_9) +); +defparam n367_s6.INIT=16'h0054; + LUT4 n7102_s2 ( + .F(n7102_7), + .I0(n7061_6), + .I1(n7076_4), + .I2(n7059_6), + .I3(n7087_5) +); +defparam n7102_s2.INIT=16'h0100; + LUT3 n4207_s65 ( + .F(n4207_78), + .I0(n4207_64), + .I1(sy_Z[2]), + .I2(sy_Z[3]) ); -defparam square_s25.INIT=16'h9555; - LUT4 n9058_s1 ( - .F(n9058_5), - .I0(bricks_active[7]), - .I1(n9054_5), - .I2(n9054_6), - .I3(n9052_12) -); -defparam n9058_s1.INIT=16'h2000; - LUT4 n3741_s45 ( - .F(n3741_53), - .I0(qyb[2]), - .I1(qyb[0]), - .I2(qyb[1]), - .I3(qyb[3]) +defparam n4207_s65.INIT=8'h40; + LUT4 n4176_s36 ( + .F(n4176_50), + .I0(sy_Z[2]), + .I1(sy_Z[3]), + .I2(sy_Z[1]), + .I3(sy_Z[4]) ); -defparam n3741_s45.INIT=16'h01FE; - LUT4 n3741_s46 ( - .F(n3741_55), - .I0(qyb[3]), - .I1(qyb[2]), - .I2(qyb[0]), - .I3(qyb[1]) +defparam n4176_s36.INIT=16'h007F; + LUT4 n7272_s91 ( + .F(n7272_122), + .I0(n7272_110), + .I1(sy_Z[1]), + .I2(sy_Z[2]), + .I3(sy_Z[3]) ); -defparam n3741_s46.INIT=16'h0001; - LUT3 n3741_s47 ( - .F(n3741_57), - .I0(n3741_55), - .I1(qyb[4]), - .I2(qyb[5]) +defparam n7272_s91.INIT=16'h1000; + LUT3 n12765_s41 ( + .F(n12765_45), + .I0(sx_Z[0]), + .I1(sx_Z[1]), + .I2(sx_Z[2]) ); -defparam n3741_s47.INIT=8'h40; - LUT4 n3741_s48 ( - .F(n3741_59), - .I0(n3741_55), - .I1(qyb[4]), - .I2(qyb[5]), - .I3(qyb[6]) +defparam n12765_s41.INIT=8'h80; + LUT3 n12765_s42 ( + .F(n12765_47), + .I0(sx_Z[3]), + .I1(sx_Z[1]), + .I2(sx_Z[2]) ); -defparam n3741_s48.INIT=16'h4000; - LUT4 n315_s4 ( - .F(n315_8), - .I0(qyb[6]), - .I1(n319_5), - .I2(qyb[4]), - .I3(qyb[5]) +defparam n12765_s42.INIT=8'h80; + LUT4 n12765_s43 ( + .F(n12765_49), + .I0(sx_Z[3]), + .I1(sx_Z[4]), + .I2(sx_Z[1]), + .I3(sx_Z[2]) ); -defparam n315_s4.INIT=16'h8000; - LUT4 n317_s8 ( - .F(n317_12), - .I0(n319_5), - .I1(qyb[4]), - .I2(qyb[5]), - .I3(qyb[6]) +defparam n12765_s43.INIT=16'h0111; + LUT3 n3744_s58 ( + .F(n3744_66), + .I0(qx[4]), + .I1(qx[2]), + .I2(qx[3]) ); -defparam n317_s8.INIT=16'h7F80; +defparam n3744_s58.INIT=8'h95; + LUT3 square_s34 ( + .F(square_39), + .I0(qx[4]), + .I1(qx[2]), + .I2(qx[3]) +); +defparam square_s34.INIT=8'h80; + LUT3 n3735_s41 ( + .F(n3735_50), + .I0(score_counter[6]), + .I1(score_counter[5]), + .I2(n3735_34) +); +defparam n3735_s41.INIT=8'h08; + LUT4 n3735_s42 ( + .F(n3735_52), + .I0(n10665_4), + .I1(n10666_4), + .I2(score_counter[5]), + .I3(n3735_34) +); +defparam n3735_s42.INIT=16'h00E0; + LUT4 n3735_s43 ( + .F(n3735_54), + .I0(n3735_28), + .I1(n3737_14), + .I2(score_counter[5]), + .I3(n3735_34) +); +defparam n3735_s43.INIT=16'h0090; + LUT4 n3737_s21 ( + .F(n3737_26), + .I0(n3737_17), + .I1(score_counter[3]), + .I2(score_counter[2]), + .I3(n10665_4) +); +defparam n3737_s21.INIT=16'h8000; + LUT4 n3737_s22 ( + .F(n3737_28), + .I0(n3735_48), + .I1(n3735_42), + .I2(score_counter[3]), + .I3(score_counter[2]) +); +defparam n3737_s22.INIT=16'hE000; + LUT4 n7143_s3 ( + .F(n7143_9), + .I0(n7056), + .I1(n7066_9), + .I2(n7059_6), + .I3(n7087_5) +); +defparam n7143_s3.INIT=16'h0700; + LUT3 n7092_s2 ( + .F(n7092_6), + .I0(n7059_6), + .I1(n7056), + .I2(n7066_9) +); +defparam n7092_s2.INIT=8'h15; + LUT3 n7145_s2 ( + .F(n7145_7), + .I0(n7056), + .I1(n7066_9), + .I2(n7087_5) +); +defparam n7145_s2.INIT=8'h70; + LUT3 n7104_s2 ( + .F(n7104_7), + .I0(n7056), + .I1(n7066_9), + .I2(n7102_7) +); +defparam n7104_s2.INIT=8'h70; + LUT3 n7086_s2 ( + .F(n7086_7), + .I0(n7056), + .I1(n7066_9), + .I2(n7090_7) +); +defparam n7086_s2.INIT=8'h70; + LUT4 n7090_s2 ( + .F(n7090_7), + .I0(n7056), + .I1(n7055), + .I2(n7076_5), + .I3(n7087_5) +); +defparam n7090_s2.INIT=16'hEF00; + LUT4 n7100_s1 ( + .F(n7100_5), + .I0(n7056), + .I1(n7055), + .I2(n7076_5), + .I3(n7092_6) +); +defparam n7100_s1.INIT=16'h10FF; LUT4 n162_s4 ( .F(n162_9), .I0(qxb[0]), - .I1(n191_6), + .I1(n191_9), .I2(qdx), - .I3(n190_5) + .I3(n190_4) ); defparam n162_s4.INIT=16'h5510; - LUT4 n3294_s59 ( - .F(n3294_66), - .I0(bricks_active[4]), - .I1(qyb[6]), - .I2(n317_9), - .I3(n9061_5) + LUT4 square_s35 ( + .F(square_41), + .I0(qx[5]), + .I1(qx[6]), + .I2(square_39), + .I3(qx[7]) +); +defparam square_s35.INIT=16'hFE01; + LUT4 ball_s34 ( + .F(ball_43), + .I0(n10669_9), + .I1(qxb[4]), + .I2(qxb[5]), + .I3(qxb[6]) +); +defparam ball_s34.INIT=16'h4000; + LUT4 n10659_s6 ( + .F(n10659_10), + .I0(n10659_8), + .I1(qxb[4]), + .I2(qxb[5]), + .I3(qxb[6]) ); -defparam n3294_s59.INIT=16'h2000; +defparam n10659_s6.INIT=16'h4000; + LUT3 n3737_s23 ( + .F(n3737_30), + .I0(score_counter[1]), + .I1(score_counter[0]), + .I2(score_counter[3]) +); +defparam n3737_s23.INIT=8'h80; + LUT4 n3739_s17 ( + .F(n3739_22), + .I0(n3739_16), + .I1(score_counter[1]), + .I2(score_counter[0]), + .I3(n3739_7) +); +defparam n3739_s17.INIT=16'hBF40; + LUT4 n3737_s24 ( + .F(n3737_32), + .I0(score_counter[1]), + .I1(score_counter[0]), + .I2(score_counter[3]), + .I3(n10664_7) +); +defparam n3737_s24.INIT=16'h8000; + LUT4 n3737_s25 ( + .F(n3737_34), + .I0(score_counter[1]), + .I1(score_counter[0]), + .I2(n10659_4), + .I3(score_counter[2]) +); +defparam n3737_s25.INIT=16'h8000; + LUT4 n3737_s26 ( + .F(n3737_36), + .I0(score_counter[1]), + .I1(score_counter[0]), + .I2(n10660_4), + .I3(n3739_15) +); +defparam n3737_s26.INIT=16'h0080; + LUT4 n3739_s18 ( + .F(n3739_24), + .I0(score_counter[1]), + .I1(score_counter[0]), + .I2(n10667_6), + .I3(n10667_7) +); +defparam n3739_s18.INIT=16'h8000; + LUT4 n3744_s59 ( + .F(n3744_68), + .I0(n3744_23), + .I1(n3744_24), + .I2(n10667_6), + .I3(n10667_7) +); +defparam n3744_s59.INIT=16'hE000; LUT4 n48_1_s1 ( .F(n48_1_4), .I0(n48_4), @@ -5291,10 +7872,125 @@ defparam n48_1_s1.INIT=16'hB0FF; .F(n197_12), .I0(qdx), .I1(frame_Z), - .I2(n191_6), - .I3(n190_5) + .I2(n191_9), + .I3(n190_4) ); defparam n197_s4.INIT=16'hAA6E; + LUT4 ball_s35 ( + .F(ball_47), + .I0(ball_48), + .I1(sx_Z[9]), + .I2(qxb[9]), + .I3(n4180_40) +); +defparam ball_s35.INIT=16'h8A08; + LUT3 ball_s36 ( + .F(ball_48), + .I0(sy_Z[9]), + .I1(qyb[9]), + .I2(n4194_40) +); +defparam ball_s36.INIT=8'hB2; + LUT4 n10655_s2 ( + .F(n10655_6), + .I0(bricks_active[16]), + .I1(n3741_30), + .I2(n10661_10), + .I3(n10661_6) +); +defparam n10655_s2.INIT=16'h8000; + LUT4 n3740_s1 ( + .F(n3740_5), + .I0(n3739_4), + .I1(n3741_6), + .I2(score_counter[0]), + .I3(n10654_4) +); +defparam n3740_s1.INIT=16'h6AAA; + LUT3 n4207_s66 ( + .F(n4207_80), + .I0(qyb[7]), + .I1(n4207_70), + .I2(sy_Z[7]) +); +defparam n4207_s66.INIT=8'h90; + LUT3 n4207_s67 ( + .F(n4207_82), + .I0(sy_Z[7]), + .I1(qyb[7]), + .I2(n4207_70) +); +defparam n4207_s67.INIT=8'h14; + LUT4 n7151_s3 ( + .F(n7151_9), + .I0(n7056), + .I1(n7066_9), + .I2(n7059_6), + .I3(n7087_5) +); +defparam n7151_s3.INIT=16'h0B00; + LUT3 n7153_s2 ( + .F(n7153_7), + .I0(n7059_6), + .I1(n7066_9), + .I2(n7087_5) +); +defparam n7153_s2.INIT=8'h10; + LUT4 n10657_s3 ( + .F(n10657_7), + .I0(frame_Z), + .I1(bricks_active[14]), + .I2(n3741_30), + .I3(n10657_5) +); +defparam n10657_s3.INIT=16'h8000; + LUT3 n3742_s1 ( + .F(n3742_5), + .I0(n10654_4), + .I1(n10655_6), + .I2(n3741_28) +); +defparam n3742_s1.INIT=8'hE1; + LUT4 qdy_s5 ( + .F(qdy_10), + .I0(n315_6), + .I1(qyb[8]), + .I2(qyb[9]), + .I3(n3744_9) +); +defparam qdy_s5.INIT=16'hFD00; + LUT4 ball_s37 ( + .F(ball_50), + .I0(sx_Z[8]), + .I1(ball_43), + .I2(qxb[7]), + .I3(qxb[8]) +); +defparam ball_s37.INIT=16'h1555; + LUT4 n7092_s3 ( + .F(n7092_8), + .I0(n7061_6), + .I1(n7059_6), + .I2(n7056), + .I3(n7066_9) +); +defparam n7092_s3.INIT=16'hFEEE; + LUT4 n7094_s2 ( + .F(n7094_7), + .I0(n7059_6), + .I1(n7056), + .I2(n7066_9), + .I3(n7090_7) +); +defparam n7094_s2.INIT=16'h1500; + LUT4 square_s36 ( + .F(square_43), + .I0(qx[5]), + .I1(qx[4]), + .I2(qx[2]), + .I3(qx[3]) +); +defparam square_s36.INIT=16'h8000; DFFE qx_9_s0 ( .Q(qx[9]), .D(n74_2), @@ -5346,7 +8042,7 @@ defparam qx_0_s0.INIT=1'b0; defparam qxb_9_s0.INIT=1'b0; DFFE qxb_7_s0 ( .Q(qxb[7]), - .D(n155_6), + .D(n155_19), .CLK(clk_d), .CE(qxb_9_6) ); @@ -5423,51 +8119,428 @@ defparam qyb_2_s0.INIT=1'b0; defparam qyb_1_s0.INIT=1'b0; DFFE qyb_0_s0 ( .Q(qyb[0]), - .D(n367_8), + .D(n367_11), .CLK(clk_d), .CE(qyb_6_5) ); defparam qyb_0_s0.INIT=1'b0; DFFE qdy_s0 ( .Q(qdy), - .D(n3294_6), + .D(n3744_6), .CLK(clk_d), .CE(qdy_7) ); + DFFE score_counter_7_s0 ( + .Q(score_counter[7]), + .D(n3735_3), + .CLK(clk_d), + .CE(frame_Z) +); +defparam score_counter_7_s0.INIT=1'b0; + DFFE score_counter_6_s0 ( + .Q(score_counter[6]), + .D(n3736_5), + .CLK(clk_d), + .CE(frame_Z) +); +defparam score_counter_6_s0.INIT=1'b0; + DFFE score_counter_5_s0 ( + .Q(score_counter[5]), + .D(n3737_3), + .CLK(clk_d), + .CE(frame_Z) +); +defparam score_counter_5_s0.INIT=1'b0; + DFFE score_counter_4_s0 ( + .Q(score_counter[4]), + .D(n3738_3), + .CLK(clk_d), + .CE(frame_Z) +); +defparam score_counter_4_s0.INIT=1'b0; + DFFE score_counter_3_s0 ( + .Q(score_counter[3]), + .D(n3739_3), + .CLK(clk_d), + .CE(frame_Z) +); +defparam score_counter_3_s0.INIT=1'b0; + DFFE score_counter_2_s0 ( + .Q(score_counter[2]), + .D(n3740_5), + .CLK(clk_d), + .CE(frame_Z) +); +defparam score_counter_2_s0.INIT=1'b0; + DFFE score_counter_1_s0 ( + .Q(score_counter[1]), + .D(n3741_3), + .CLK(clk_d), + .CE(frame_Z) +); +defparam score_counter_1_s0.INIT=1'b0; + DFFE score_counter_0_s0 ( + .Q(score_counter[0]), + .D(n3742_5), + .CLK(clk_d), + .CE(frame_Z) +); +defparam score_counter_0_s0.INIT=1'b0; DFFR square_s0 ( .Q(square), - .D(n3710_46), + .D(n4176_46), .CLK(clk_d), .RESET(square_6) ); DFFR ball_s0 ( .Q(ball), - .D(n3741_33), + .D(n4207_33), .CLK(clk_d), .RESET(ball_6) ); DFFS brick_s0 ( .Q(brick), - .D(n3881_5), + .D(n4347_5), + .CLK(clk_d), + .SET(n12765_3) +); + DFFS \score[6]_6_s0 ( + .Q(\score[6] [6]), + .D(n6880_4), + .CLK(clk_d), + .SET(n6878_4) +); + DFF \score[6]_5_s0 ( + .Q(\score[6] [5]), + .D(n6905_7), + .CLK(clk_d) +); + DFF \score[6]_4_s0 ( + .Q(\score[6] [4]), + .D(n6906_5), + .CLK(clk_d) +); + DFF \score[6]_2_s0 ( + .Q(\score[6] [2]), + .D(n6909_5), + .CLK(clk_d) +); + DFF \score[6]_1_s0 ( + .Q(\score[6] [1]), + .D(n6911_3), + .CLK(clk_d) +); + DFF \score[5]_6_s0 ( + .Q(\score[5] [6]), + .D(n6913_7), + .CLK(clk_d) +); + DFFS \score[5]_4_s0 ( + .Q(\score[5] [4]), + .D(n6895_6), + .CLK(clk_d), + .SET(n6878_4) +); + DFF \score[5]_3_s0 ( + .Q(\score[5] [3]), + .D(n6919_3), + .CLK(clk_d) +); + DFF \score[5]_2_s0 ( + .Q(\score[5] [2]), + .D(n6921_7), + .CLK(clk_d) +); + DFF \score[5]_1_s0 ( + .Q(\score[5] [1]), + .D(n6923_7), + .CLK(clk_d) +); + DFF \score[4]_6_s0 ( + .Q(\score[4] [6]), + .D(n6925_3), + .CLK(clk_d) +); + DFF \score[4]_5_s0 ( + .Q(\score[4] [5]), + .D(n6927_5), + .CLK(clk_d) +); + DFF \score[4]_4_s0 ( + .Q(\score[4] [4]), + .D(n6929_5), + .CLK(clk_d) +); + DFF \score[3]_6_s0 ( + .Q(\score[3] [6]), + .D(n6937_3), + .CLK(clk_d) +); + DFF \score[3]_5_s0 ( + .Q(\score[3] [5]), + .D(n6939_3), + .CLK(clk_d) +); + DFF \score[3]_4_s0 ( + .Q(\score[3] [4]), + .D(n6941_3), + .CLK(clk_d) +); + DFF \score[3]_3_s0 ( + .Q(\score[3] [3]), + .D(n6944_5), + .CLK(clk_d) +); + DFF \score[3]_2_s0 ( + .Q(\score[3] [2]), + .D(n6946_5), + .CLK(clk_d) +); + DFF \score[3]_1_s0 ( + .Q(\score[3] [1]), + .D(n6948_3), + .CLK(clk_d) +); + DFF \score[2]_6_s0 ( + .Q(\score[2] [6]), + .D(n6950_3), + .CLK(clk_d) +); + DFF \score[2]_5_s0 ( + .Q(\score[2] [5]), + .D(n6952_5), + .CLK(clk_d) +); + DFF \score[2]_4_s0 ( + .Q(\score[2] [4]), + .D(n6954_3), + .CLK(clk_d) +); + DFF \score[2]_2_s0 ( + .Q(\score[2] [2]), + .D(n6958_5), + .CLK(clk_d) +); + DFF \score[2]_1_s0 ( + .Q(\score[2] [1]), + .D(n6960_7), + .CLK(clk_d) +); + DFF \score[1]_6_s0 ( + .Q(\score[1] [6]), + .D(n6962_7), + .CLK(clk_d) +); + DFF \score[1]_5_s0 ( + .Q(\score[1] [5]), + .D(n6964_5), + .CLK(clk_d) +); + DFFS \score[1]_4_s0 ( + .Q(\score[1] [4]), + .D(n6885_4), + .CLK(clk_d), + .SET(n6878_4) +); + DFF \score[1]_2_s0 ( + .Q(\score[1] [2]), + .D(n6970_7), + .CLK(clk_d) +); + DFF \score[1]_1_s0 ( + .Q(\score[1] [1]), + .D(n6972_5), + .CLK(clk_d) +); + DFFS \score[0]_6_s0 ( + .Q(\score[0] [6]), + .D(n6888_5), + .CLK(clk_d), + .SET(n6895_6) +); + DFFS \score_1[6]_6_s0 ( + .Q(\score_1[6] [6]), + .D(n7061_6), + .CLK(clk_d), + .SET(n7059_6) +); + DFF \score_1[6]_5_s0 ( + .Q(\score_1[6] [5]), + .D(n7086_7), + .CLK(clk_d) +); + DFF \score_1[6]_4_s0 ( + .Q(\score_1[6] [4]), + .D(n7087_5), + .CLK(clk_d) +); + DFF \score_1[6]_2_s0 ( + .Q(\score_1[6] [2]), + .D(n7090_7), + .CLK(clk_d) +); + DFF \score_1[6]_1_s0 ( + .Q(\score_1[6] [1]), + .D(n7092_8), + .CLK(clk_d) +); + DFF \score_1[5]_6_s0 ( + .Q(\score_1[5] [6]), + .D(n7094_7), + .CLK(clk_d) +); + DFFS \score_1[5]_4_s0 ( + .Q(\score_1[5] [4]), + .D(n7076_4), + .CLK(clk_d), + .SET(n7059_6) +); + DFF \score_1[5]_3_s0 ( + .Q(\score_1[5] [3]), + .D(n7100_5), + .CLK(clk_d) +); + DFF \score_1[5]_2_s0 ( + .Q(\score_1[5] [2]), + .D(n7102_7), + .CLK(clk_d) +); + DFF \score_1[5]_1_s0 ( + .Q(\score_1[5] [1]), + .D(n7104_7), + .CLK(clk_d) +); + DFF \score_1[4]_6_s0 ( + .Q(\score_1[4] [6]), + .D(n7106_3), + .CLK(clk_d) +); + DFF \score_1[4]_5_s0 ( + .Q(\score_1[4] [5]), + .D(n7108_5), + .CLK(clk_d) +); + DFF \score_1[4]_4_s0 ( + .Q(\score_1[4] [4]), + .D(n7110_3), + .CLK(clk_d) +); + DFF \score_1[3]_6_s0 ( + .Q(\score_1[3] [6]), + .D(n7118_3), + .CLK(clk_d) +); + DFF \score_1[3]_5_s0 ( + .Q(\score_1[3] [5]), + .D(n7120_3), + .CLK(clk_d) +); + DFF \score_1[3]_4_s0 ( + .Q(\score_1[3] [4]), + .D(n7122_3), + .CLK(clk_d) +); + DFF \score_1[3]_3_s0 ( + .Q(\score_1[3] [3]), + .D(n7125_5), + .CLK(clk_d) +); + DFF \score_1[3]_2_s0 ( + .Q(\score_1[3] [2]), + .D(n7127_5), + .CLK(clk_d) +); + DFF \score_1[3]_1_s0 ( + .Q(\score_1[3] [1]), + .D(n7129_3), + .CLK(clk_d) +); + DFF \score_1[2]_6_s0 ( + .Q(\score_1[2] [6]), + .D(n7131_3), + .CLK(clk_d) +); + DFF \score_1[2]_5_s0 ( + .Q(\score_1[2] [5]), + .D(n7133_5), + .CLK(clk_d) +); + DFF \score_1[2]_4_s0 ( + .Q(\score_1[2] [4]), + .D(n7135_3), + .CLK(clk_d) +); + DFF \score_1[2]_2_s0 ( + .Q(\score_1[2] [2]), + .D(n7139_5), + .CLK(clk_d) +); + DFF \score_1[2]_1_s0 ( + .Q(\score_1[2] [1]), + .D(n7141_5), + .CLK(clk_d) +); + DFF \score_1[1]_6_s0 ( + .Q(\score_1[1] [6]), + .D(n7143_9), + .CLK(clk_d) +); + DFF \score_1[1]_5_s0 ( + .Q(\score_1[1] [5]), + .D(n7145_7), + .CLK(clk_d) +); + DFFS \score_1[1]_4_s0 ( + .Q(\score_1[1] [4]), + .D(n7066_6), + .CLK(clk_d), + .SET(n7059_6) +); + DFF \score_1[1]_2_s0 ( + .Q(\score_1[1] [2]), + .D(n7151_9), + .CLK(clk_d) +); + DFF \score_1[1]_1_s0 ( + .Q(\score_1[1] [1]), + .D(n7153_7), + .CLK(clk_d) +); + DFFS \score_1[0]_6_s0 ( + .Q(\score_1[0] [6]), + .D(n7069_5), + .CLK(clk_d), + .SET(n7076_4) +); + DFFR score_area_1_s0 ( + .Q(score_area_1), + .D(n7272_106), + .CLK(clk_d), + .RESET(score_area_1_12) +); + DFFR score_area_2_s0 ( + .Q(score_area_2), + .D(n7421_101), .CLK(clk_d), - .SET(n11097_3) + .RESET(score_area_2_6) ); DFFR red_7_s0 ( .Q(red_Z[7]), - .D(ball), + .D(n7569_5), .CLK(clk_d), .RESET(square) ); DFFR green_7_s0 ( .Q(green_Z[7]), - .D(brick), + .D(n7553_5), .CLK(clk_d), - .RESET(n11074_3) + .RESET(n12742_3) ); - DFF blue_7_s0 ( + DFFS blue_7_s0 ( .Q(blue_Z[7]), - .D(square), - .CLK(clk_d) + .D(n7585_5), + .CLK(clk_d), + .SET(square) ); DFFSE qyb_4_s1 ( .Q(qyb[4]), @@ -5519,7 +8592,7 @@ defparam qxb_4_s2.INIT=1'b1; defparam qxb_5_s2.INIT=1'b1; DFFSE qxb_8_s2 ( .Q(qxb[8]), - .D(n154_6), + .D(n154_8), .CLK(clk_d), .CE(qxb_9_6), .SET(GND) @@ -5561,7 +8634,7 @@ defparam qx_8_s1.INIT=1'b1; .Q(bricks_active[0]), .D(GND), .CLK(clk_d), - .CE(n9065_3), + .CE(n10671_3), .SET(GND) ); defparam bricks_active_0_s4.INIT=1'b1; @@ -5569,7 +8642,7 @@ defparam bricks_active_0_s4.INIT=1'b1; .Q(bricks_active[1]), .D(GND), .CLK(clk_d), - .CE(n9064_3), + .CE(n10670_3), .SET(GND) ); defparam bricks_active_1_s4.INIT=1'b1; @@ -5577,7 +8650,7 @@ defparam bricks_active_1_s4.INIT=1'b1; .Q(bricks_active[2]), .D(GND), .CLK(clk_d), - .CE(n9063_5), + .CE(n10669_3), .SET(GND) ); defparam bricks_active_2_s4.INIT=1'b1; @@ -5585,7 +8658,7 @@ defparam bricks_active_2_s4.INIT=1'b1; .Q(bricks_active[3]), .D(GND), .CLK(clk_d), - .CE(n9062_3), + .CE(n10668_3), .SET(GND) ); defparam bricks_active_3_s4.INIT=1'b1; @@ -5593,7 +8666,7 @@ defparam bricks_active_3_s4.INIT=1'b1; .Q(bricks_active[4]), .D(GND), .CLK(clk_d), - .CE(n9061_3), + .CE(n10667_3), .SET(GND) ); defparam bricks_active_4_s4.INIT=1'b1; @@ -5601,7 +8674,7 @@ defparam bricks_active_4_s4.INIT=1'b1; .Q(bricks_active[5]), .D(GND), .CLK(clk_d), - .CE(n9060_3), + .CE(n10666_3), .SET(GND) ); defparam bricks_active_5_s4.INIT=1'b1; @@ -5609,7 +8682,7 @@ defparam bricks_active_5_s4.INIT=1'b1; .Q(bricks_active[6]), .D(GND), .CLK(clk_d), - .CE(n9059_3), + .CE(n10665_3), .SET(GND) ); defparam bricks_active_6_s4.INIT=1'b1; @@ -5617,7 +8690,7 @@ defparam bricks_active_6_s4.INIT=1'b1; .Q(bricks_active[7]), .D(GND), .CLK(clk_d), - .CE(n9058_5), + .CE(n10664_3), .SET(GND) ); defparam bricks_active_7_s4.INIT=1'b1; @@ -5625,7 +8698,7 @@ defparam bricks_active_7_s4.INIT=1'b1; .Q(bricks_active[8]), .D(GND), .CLK(clk_d), - .CE(n9057_6), + .CE(n10663_6), .SET(GND) ); defparam bricks_active_8_s4.INIT=1'b1; @@ -5633,7 +8706,7 @@ defparam bricks_active_8_s4.INIT=1'b1; .Q(bricks_active[9]), .D(GND), .CLK(clk_d), - .CE(n9056_3), + .CE(n10662_3), .SET(GND) ); defparam bricks_active_9_s4.INIT=1'b1; @@ -5641,7 +8714,7 @@ defparam bricks_active_9_s4.INIT=1'b1; .Q(bricks_active[10]), .D(GND), .CLK(clk_d), - .CE(n9055_3), + .CE(n10661_3), .SET(GND) ); defparam bricks_active_10_s4.INIT=1'b1; @@ -5649,7 +8722,7 @@ defparam bricks_active_10_s4.INIT=1'b1; .Q(bricks_active[11]), .D(GND), .CLK(clk_d), - .CE(n9054_3), + .CE(n10660_3), .SET(GND) ); defparam bricks_active_11_s4.INIT=1'b1; @@ -5657,7 +8730,7 @@ defparam bricks_active_11_s4.INIT=1'b1; .Q(bricks_active[12]), .D(GND), .CLK(clk_d), - .CE(n9053_3), + .CE(n10659_3), .SET(GND) ); defparam bricks_active_12_s4.INIT=1'b1; @@ -5665,7 +8738,7 @@ defparam bricks_active_12_s4.INIT=1'b1; .Q(bricks_active[13]), .D(GND), .CLK(clk_d), - .CE(n9052_3), + .CE(n10658_3), .SET(GND) ); defparam bricks_active_13_s4.INIT=1'b1; @@ -5673,7 +8746,7 @@ defparam bricks_active_13_s4.INIT=1'b1; .Q(bricks_active[14]), .D(GND), .CLK(clk_d), - .CE(n9051_9), + .CE(n10657_7), .SET(GND) ); defparam bricks_active_14_s4.INIT=1'b1; @@ -5681,7 +8754,7 @@ defparam bricks_active_14_s4.INIT=1'b1; .Q(bricks_active[15]), .D(GND), .CLK(clk_d), - .CE(n9050_3), + .CE(n10656_3), .SET(GND) ); defparam bricks_active_15_s4.INIT=1'b1; @@ -5689,7 +8762,7 @@ defparam bricks_active_15_s4.INIT=1'b1; .Q(bricks_active[16]), .D(GND), .CLK(clk_d), - .CE(n9049_3), + .CE(n10655_3), .SET(GND) ); defparam bricks_active_16_s4.INIT=1'b1; @@ -5697,7 +8770,7 @@ defparam bricks_active_16_s4.INIT=1'b1; .Q(bricks_active[17]), .D(GND), .CLK(clk_d), - .CE(n9048_3), + .CE(n10654_3), .SET(GND) ); defparam bricks_active_17_s4.INIT=1'b1; @@ -5707,276 +8780,258 @@ defparam bricks_active_17_s4.INIT=1'b1; .CLK(clk_d) ); defparam qdx_s5.INIT=1'b0; - ALU n3696_s20 ( - .SUM(n3696_21_SUM), - .COUT(n3696_24), + ALU n4162_s20 ( + .SUM(n4162_21_SUM), + .COUT(n4162_24), .I0(VCC), .I1(qx[0]), .I3(GND), .CIN(sx_Z[0]) ); -defparam n3696_s20.ALU_MODE=1; - ALU n3696_s21 ( - .SUM(n3696_22_SUM), - .COUT(n3696_26), +defparam n4162_s20.ALU_MODE=1; + ALU n4162_s21 ( + .SUM(n4162_22_SUM), + .COUT(n4162_26), .I0(sx_Z[1]), .I1(qx[1]), .I3(GND), - .CIN(n3696_24) + .CIN(n4162_24) ); -defparam n3696_s21.ALU_MODE=1; - ALU n3696_s22 ( - .SUM(n3696_23_SUM), - .COUT(n3696_28), +defparam n4162_s21.ALU_MODE=1; + ALU n4162_s22 ( + .SUM(n4162_23_SUM), + .COUT(n4162_28), .I0(sx_Z[2]), .I1(qx[2]), .I3(GND), - .CIN(n3696_26) + .CIN(n4162_26) ); -defparam n3696_s22.ALU_MODE=1; - ALU n3696_s23 ( - .SUM(n3696_24_SUM), - .COUT(n3696_30), +defparam n4162_s22.ALU_MODE=1; + ALU n4162_s23 ( + .SUM(n4162_24_SUM), + .COUT(n4162_30), .I0(sx_Z[3]), .I1(qx[3]), .I3(GND), - .CIN(n3696_28) + .CIN(n4162_28) ); -defparam n3696_s23.ALU_MODE=1; - ALU n3696_s24 ( - .SUM(n3696_25_SUM), - .COUT(n3696_32), +defparam n4162_s23.ALU_MODE=1; + ALU n4162_s24 ( + .SUM(n4162_25_SUM), + .COUT(n4162_32), .I0(sx_Z[4]), .I1(qx[4]), .I3(GND), - .CIN(n3696_30) + .CIN(n4162_30) ); -defparam n3696_s24.ALU_MODE=1; - ALU n3696_s25 ( - .SUM(n3696_26_SUM), - .COUT(n3696_34), +defparam n4162_s24.ALU_MODE=1; + ALU n4162_s25 ( + .SUM(n4162_26_SUM), + .COUT(n4162_34), .I0(sx_Z[5]), .I1(qx[5]), .I3(GND), - .CIN(n3696_32) + .CIN(n4162_32) ); -defparam n3696_s25.ALU_MODE=1; - ALU n3696_s26 ( - .SUM(n3696_27_SUM), - .COUT(n3696_36), +defparam n4162_s25.ALU_MODE=1; + ALU n4162_s26 ( + .SUM(n4162_27_SUM), + .COUT(n4162_36), .I0(sx_Z[6]), .I1(qx[6]), .I3(GND), - .CIN(n3696_34) + .CIN(n4162_34) ); -defparam n3696_s26.ALU_MODE=1; - ALU n3696_s27 ( - .SUM(n3696_28_SUM), - .COUT(n3696_38), +defparam n4162_s26.ALU_MODE=1; + ALU n4162_s27 ( + .SUM(n4162_28_SUM), + .COUT(n4162_38), .I0(sx_Z[7]), .I1(qx[7]), .I3(GND), - .CIN(n3696_36) + .CIN(n4162_36) ); -defparam n3696_s27.ALU_MODE=1; - ALU n3696_s28 ( - .SUM(n3696_29_SUM), - .COUT(n3696_40), +defparam n4162_s27.ALU_MODE=1; + ALU n4162_s28 ( + .SUM(n4162_29_SUM), + .COUT(n4162_40), .I0(sx_Z[8]), .I1(qx[8]), .I3(GND), - .CIN(n3696_38) + .CIN(n4162_38) ); -defparam n3696_s28.ALU_MODE=1; - ALU n3696_s29 ( - .SUM(n3696_30_SUM), - .COUT(n3696_42), +defparam n4162_s28.ALU_MODE=1; + ALU n4162_s29 ( + .SUM(n4162_30_SUM), + .COUT(n4162_42), .I0(sx_Z[9]), .I1(qx[9]), .I3(GND), - .CIN(n3696_40) + .CIN(n4162_40) ); -defparam n3696_s29.ALU_MODE=1; - ALU n3714_s20 ( - .SUM(n3714_21_SUM), - .COUT(n3714_24), +defparam n4162_s29.ALU_MODE=1; + ALU n4180_s20 ( + .SUM(n4180_21_SUM), + .COUT(n4180_24), .I0(VCC), .I1(qxb[0]), .I3(GND), .CIN(sx_Z[0]) ); -defparam n3714_s20.ALU_MODE=1; - ALU n3714_s21 ( - .SUM(n3714_22_SUM), - .COUT(n3714_26), +defparam n4180_s20.ALU_MODE=1; + ALU n4180_s21 ( + .SUM(n4180_22_SUM), + .COUT(n4180_26), .I0(sx_Z[1]), .I1(qxb[1]), .I3(GND), - .CIN(n3714_24) + .CIN(n4180_24) ); -defparam n3714_s21.ALU_MODE=1; - ALU n3714_s22 ( - .SUM(n3714_23_SUM), - .COUT(n3714_28), +defparam n4180_s21.ALU_MODE=1; + ALU n4180_s22 ( + .SUM(n4180_23_SUM), + .COUT(n4180_28), .I0(sx_Z[2]), .I1(qxb[2]), .I3(GND), - .CIN(n3714_26) + .CIN(n4180_26) ); -defparam n3714_s22.ALU_MODE=1; - ALU n3714_s23 ( - .SUM(n3714_24_SUM), - .COUT(n3714_30), +defparam n4180_s22.ALU_MODE=1; + ALU n4180_s23 ( + .SUM(n4180_24_SUM), + .COUT(n4180_30), .I0(sx_Z[3]), .I1(qxb[3]), .I3(GND), - .CIN(n3714_28) + .CIN(n4180_28) ); -defparam n3714_s23.ALU_MODE=1; - ALU n3714_s24 ( - .SUM(n3714_25_SUM), - .COUT(n3714_32), +defparam n4180_s23.ALU_MODE=1; + ALU n4180_s24 ( + .SUM(n4180_25_SUM), + .COUT(n4180_32), .I0(sx_Z[4]), .I1(qxb[4]), .I3(GND), - .CIN(n3714_30) + .CIN(n4180_30) ); -defparam n3714_s24.ALU_MODE=1; - ALU n3714_s25 ( - .SUM(n3714_26_SUM), - .COUT(n3714_34), +defparam n4180_s24.ALU_MODE=1; + ALU n4180_s25 ( + .SUM(n4180_26_SUM), + .COUT(n4180_34), .I0(sx_Z[5]), .I1(qxb[5]), .I3(GND), - .CIN(n3714_32) + .CIN(n4180_32) ); -defparam n3714_s25.ALU_MODE=1; - ALU n3714_s26 ( - .SUM(n3714_27_SUM), - .COUT(n3714_36), +defparam n4180_s25.ALU_MODE=1; + ALU n4180_s26 ( + .SUM(n4180_27_SUM), + .COUT(n4180_36), .I0(sx_Z[6]), .I1(qxb[6]), .I3(GND), - .CIN(n3714_34) + .CIN(n4180_34) ); -defparam n3714_s26.ALU_MODE=1; - ALU n3714_s27 ( - .SUM(n3714_28_SUM), - .COUT(n3714_38), +defparam n4180_s26.ALU_MODE=1; + ALU n4180_s27 ( + .SUM(n4180_28_SUM), + .COUT(n4180_38), .I0(sx_Z[7]), .I1(qxb[7]), .I3(GND), - .CIN(n3714_36) + .CIN(n4180_36) ); -defparam n3714_s27.ALU_MODE=1; - ALU n3714_s28 ( - .SUM(n3714_29_SUM), - .COUT(n3714_40), +defparam n4180_s27.ALU_MODE=1; + ALU n4180_s28 ( + .SUM(n4180_29_SUM), + .COUT(n4180_40), .I0(sx_Z[8]), .I1(qxb[8]), .I3(GND), - .CIN(n3714_38) -); -defparam n3714_s28.ALU_MODE=1; - ALU n3714_s29 ( - .SUM(n3714_30_SUM), - .COUT(n3714_42), - .I0(sx_Z[9]), - .I1(qxb[9]), - .I3(GND), - .CIN(n3714_40) + .CIN(n4180_38) ); -defparam n3714_s29.ALU_MODE=1; - ALU n3728_s20 ( - .SUM(n3728_21_SUM), - .COUT(n3728_24), +defparam n4180_s28.ALU_MODE=1; + ALU n4194_s20 ( + .SUM(n4194_21_SUM), + .COUT(n4194_24), .I0(VCC), .I1(qyb[0]), .I3(GND), .CIN(sy_Z[0]) ); -defparam n3728_s20.ALU_MODE=1; - ALU n3728_s21 ( - .SUM(n3728_22_SUM), - .COUT(n3728_26), +defparam n4194_s20.ALU_MODE=1; + ALU n4194_s21 ( + .SUM(n4194_22_SUM), + .COUT(n4194_26), .I0(sy_Z[1]), .I1(qyb[1]), .I3(GND), - .CIN(n3728_24) + .CIN(n4194_24) ); -defparam n3728_s21.ALU_MODE=1; - ALU n3728_s22 ( - .SUM(n3728_23_SUM), - .COUT(n3728_28), +defparam n4194_s21.ALU_MODE=1; + ALU n4194_s22 ( + .SUM(n4194_23_SUM), + .COUT(n4194_28), .I0(sy_Z[2]), .I1(qyb[2]), .I3(GND), - .CIN(n3728_26) + .CIN(n4194_26) ); -defparam n3728_s22.ALU_MODE=1; - ALU n3728_s23 ( - .SUM(n3728_24_SUM), - .COUT(n3728_30), +defparam n4194_s22.ALU_MODE=1; + ALU n4194_s23 ( + .SUM(n4194_24_SUM), + .COUT(n4194_30), .I0(sy_Z[3]), .I1(qyb[3]), .I3(GND), - .CIN(n3728_28) + .CIN(n4194_28) ); -defparam n3728_s23.ALU_MODE=1; - ALU n3728_s24 ( - .SUM(n3728_25_SUM), - .COUT(n3728_32), +defparam n4194_s23.ALU_MODE=1; + ALU n4194_s24 ( + .SUM(n4194_25_SUM), + .COUT(n4194_32), .I0(sy_Z[4]), .I1(qyb[4]), .I3(GND), - .CIN(n3728_30) + .CIN(n4194_30) ); -defparam n3728_s24.ALU_MODE=1; - ALU n3728_s25 ( - .SUM(n3728_26_SUM), - .COUT(n3728_34), +defparam n4194_s24.ALU_MODE=1; + ALU n4194_s25 ( + .SUM(n4194_26_SUM), + .COUT(n4194_34), .I0(sy_Z[5]), .I1(qyb[5]), .I3(GND), - .CIN(n3728_32) + .CIN(n4194_32) ); -defparam n3728_s25.ALU_MODE=1; - ALU n3728_s26 ( - .SUM(n3728_27_SUM), - .COUT(n3728_36), +defparam n4194_s25.ALU_MODE=1; + ALU n4194_s26 ( + .SUM(n4194_27_SUM), + .COUT(n4194_36), .I0(sy_Z[6]), .I1(qyb[6]), .I3(GND), - .CIN(n3728_34) + .CIN(n4194_34) ); -defparam n3728_s26.ALU_MODE=1; - ALU n3728_s27 ( - .SUM(n3728_28_SUM), - .COUT(n3728_38), +defparam n4194_s26.ALU_MODE=1; + ALU n4194_s27 ( + .SUM(n4194_28_SUM), + .COUT(n4194_38), .I0(sy_Z[7]), .I1(qyb[7]), .I3(GND), - .CIN(n3728_36) + .CIN(n4194_36) ); -defparam n3728_s27.ALU_MODE=1; - ALU n3728_s28 ( - .SUM(n3728_29_SUM), - .COUT(n3728_40), +defparam n4194_s27.ALU_MODE=1; + ALU n4194_s28 ( + .SUM(n4194_29_SUM), + .COUT(n4194_40), .I0(sy_Z[8]), .I1(qyb[8]), .I3(GND), - .CIN(n3728_38) -); -defparam n3728_s28.ALU_MODE=1; - ALU n3728_s29 ( - .SUM(n3728_30_SUM), - .COUT(n3728_42), - .I0(sy_Z[9]), - .I1(qyb[9]), - .I3(GND), - .CIN(n3728_40) + .CIN(n4194_38) ); -defparam n3728_s29.ALU_MODE=1; +defparam n4194_s28.ALU_MODE=1; ALU n83_1_s ( .SUM(n83_2), .COUT(n83_1_1), @@ -6067,6 +9122,142 @@ defparam n75_1_s.ALU_MODE=2; .CIN(n75_1_1) ); defparam n74_1_s.ALU_MODE=2; + MUX2_LUT5 n7272_s92 ( + .O(n7272_84), + .I0(n7273_13), + .I1(n7273_14), + .S0(sy_Z[2]) +); + MUX2_LUT5 n7272_s94 ( + .O(n7272_86), + .I0(n7274_13), + .I1(n7274_14), + .S0(sy_Z[2]) +); + MUX2_LUT5 n7272_s67 ( + .O(n7272_88), + .I0(n7275_13), + .I1(n7275_14), + .S0(sy_Z[2]) +); + MUX2_LUT5 n7272_s68 ( + .O(n7272_90), + .I0(n7276_10), + .I1(n7276_11), + .S0(sy_Z[2]) +); + MUX2_LUT5 n7272_s96 ( + .O(n7272_92), + .I0(n7278_13), + .I1(n7278_14), + .S0(sy_Z[2]) +); + MUX2_LUT5 n7421_s85 ( + .O(n7421_79), + .I0(n7422_13), + .I1(n7422_14), + .S0(sy_Z[2]) +); + MUX2_LUT5 n7421_s87 ( + .O(n7421_81), + .I0(n7423_13), + .I1(n7423_14), + .S0(sy_Z[2]) +); + MUX2_LUT5 n7421_s63 ( + .O(n7421_83), + .I0(n7424_13), + .I1(n7424_14), + .S0(sy_Z[2]) +); + MUX2_LUT5 n7421_s64 ( + .O(n7421_85), + .I0(n7425_10), + .I1(n7425_11), + .S0(sy_Z[2]) +); + MUX2_LUT5 n7421_s89 ( + .O(n7421_87), + .I0(n7427_13), + .I1(n7427_14), + .S0(sy_Z[2]) +); + MUX2_LUT5 n7272_s93 ( + .O(n7272_94), + .I0(n7273_17), + .I1(n7273_19), + .S0(sy_Z[2]) +); + MUX2_LUT5 n7272_s95 ( + .O(n7272_96), + .I0(n7274_17), + .I1(n7274_19), + .S0(sy_Z[2]) +); + MUX2_LUT5 n7272_s97 ( + .O(n7272_98), + .I0(n7278_17), + .I1(n7278_19), + .S0(sy_Z[2]) +); + MUX2_LUT5 n7421_s86 ( + .O(n7421_89), + .I0(n7422_17), + .I1(n7422_19), + .S0(sy_Z[2]) +); + MUX2_LUT5 n7421_s88 ( + .O(n7421_91), + .I0(n7423_17), + .I1(n7423_19), + .S0(sy_Z[2]) +); + MUX2_LUT5 n7421_s90 ( + .O(n7421_93), + .I0(n7427_17), + .I1(n7427_19), + .S0(sy_Z[2]) +); + MUX2_LUT6 n7272_s73 ( + .O(n7272_100), + .I0(n7272_84), + .I1(n7272_94), + .S0(sy_Z[3]) +); + MUX2_LUT6 n7272_s74 ( + .O(n7272_102), + .I0(n7272_86), + .I1(n7272_96), + .S0(sy_Z[3]) +); + MUX2_LUT6 n7272_s75 ( + .O(n7272_104), + .I0(n7272_92), + .I1(n7272_98), + .S0(sy_Z[3]) +); + MUX2_LUT6 n7421_s69 ( + .O(n7421_95), + .I0(n7421_79), + .I1(n7421_89), + .S0(sy_Z[3]) +); + MUX2_LUT6 n7421_s70 ( + .O(n7421_97), + .I0(n7421_81), + .I1(n7421_91), + .S0(sy_Z[3]) +); + MUX2_LUT6 n7421_s71 ( + .O(n7421_99), + .I0(n7421_87), + .I1(n7421_93), + .S0(sy_Z[3]) +); + INV n6848_s6 ( + .O(n6848), + .I(score_counter[0]) +); VCC VCC_cZ ( .V(VCC) ); @@ -6084,983 +9275,983 @@ endmodule /* game_loop */ `pragma protect encoding=(enctype="base64", line_length=76, bytes=256) `pragma protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa" `pragma protect key_block -hpXAPQCQ3XantDy+QjdtZyJMa8i4mcU0KlgByd7l9rnMN6Qp/3Q5sTHNCQG4sQqh+r0gAPTzDCix -RGP/lJgcRBzFrIqnSQyA0OrhDvxxNahtzoWJeFgs/yf1vGmhr70lGrR62Nl+4l6JbeFpXkqGyF/z -/L28AV3vVvjfzAn1KDY07wULYZKLfkNUxt0M9jPVWGpJgrfkwIoPhuVJCPMkVZHLTQPCc5ibtwuu -l+K9JPV/APb2usFkdKKMHHHuBt4vr6OlXYDf5xxqvrE0FOX24XFKG4wHo0Ny7EvTVJL2q+0P1p15 -qWO95PifBGwH9EoyeVWlRuni8AImACT2wQCquQ== +kHpPjmG1XlU5maDT5RI2/NRt1ira+TUq1MSgsE7YhuGG4+O2mrg7jcONxcD+Ph6oOc769esSLLs6 +XdJfXoVU+T/ygYSBijwNlWwry+8icE+CD1VBgv4XqE1z00meF3JNSs6yMc/yuanKHWHBJ93ZRWqJ +TRAnuQpjqYomg3sjLaDVkOefV4Ry/fIZEU91CLYsi3/YeByH2UCyWDYs0jMv1ErusidTYf9xmDQy +pE7RwE3ANmNGFdg4iHaU2PgBFYBRKoITsMPpvdW+jIKELu1IJz6DdZDkQGPgAdlX0D2PWAZ9iQo3 +ww2KZwdaXeesK+tCgZJuV7rUoCMjQZpO7PYcHw== `pragma protect encoding=(enctype="base64", line_length=76, bytes=55008) `pragma protect data_keyowner="default-ip-vendor" `pragma protect data_keyname="default-ip-key" `pragma protect data_method="aes128-cfb" `pragma protect data_block -4c3tk35Xbfra0pT+oUgSIzRm+aAIQQVt3v6hJXbdxXNv9V0j5J3hFt28Rppcoedhjwl1uOIEK3c7 -8gHJedfZ2NAoNjv5ilSi7cPPSTf0v5j2DAguhOX2lC5poi/hjqT3dtQvP1g0p50YzXTcceEwBHVT -qCOA5UcDsPN20zXm0J7C5DnlfJ8ITjSMo1QQmgeSsit6666PIl9oMZkpiRgdcn8sJYlvq07ZbG2L -/wwAER528LQo6Emu+rMZTTz4BJ8O82c0Cxp4UlASIQsjF4peL3WurvFAxm9xNKAC5HJve/dXaUmr -vmoq6JiVcrfBn3S4qCOjSGMqbqodaOqdLiX6Kbnj8f9o8SXB9G4Dt8LM+ytTfIAlfQXn1BTbz2oG -tJQEB2cIpcCxKNTW4c3/dynuwS3Jo96lIYBo+/TB9eMjzD0z9X5Pj3FS/Tzh5N+k51zdpE6kcC0e -0BobxMvc1tQ4wl6k+e5XEu/RgS7s2Eg7qWq2C0WqUHojaxnRNqHkXmHqaJqQIbtgAyCkOc/NdJ/X -lv718yJ2gxu9uBNGtyeuWHAQN/UZTfOPQMqX0Hsb4v9620oYqUvO/2Gwp3ZvWDSC8HUctbZfTvtw -UeZan7USlDekegFoIKZJTFnkto7a80ElQxz2bXwKdgn7zKTAuR4Ss5QhZGvkAmYVgUVyyl9f4X9E -MgTfqzOUCHHnn7o8YkCgDnLR6hrg+vHyiZqv2n0SfJfNZfNA5mtXWn/GdgkaJ9lAvGv2bRuV0Dpo -aWfeL5ghPZh+w49lijJK0QRxtVLy1qNn1noKSX1MqiqKYG4dxm2NZyL9sX26cUD3+BpgWDti9KXc -JmPd//jy32RXGfGzf1NK5J9nFKyzyuBTRP8FmkzG4/ar3OCozR6kVHlv/Vf4pPAWj9LZfqJMqtSD -+J6G+dZE25nn5pCdb0wALWLFCx3XYu80vPgVh+C+kvcDClOTqHaRzU99LT5eMJVJR2S1DJ3ikbJv -A9iYwX2eOMdDdYkW01P8W9V3MX3iRQuEd2V5+X7oEL/OhaEO3MY7ZxuSqWkS4babObDpjQjLiq/w -lq4X/THzbzH+BrApxXqFpNT0NrPMO5XtAZNT11p9gBm8Wj0G9ew3LaGjGjNLcIC9dXiO+1jFomNK -IVdNA+M9FN4pYyn91QdaNewOm8vqLlVl3LGwAjHLko292kNm5pmcwhHnfk6k8yE33MqAaPrtx/aS -sroOgJYnNfVsxk+TBVLIipVx9bM7iQZ8f7p0G7kyoUY0gCAd/6DJHyI1oY8NBQUk2H2lwhgklEr0 -Ian+aLADSoL5kjRuHAXh/B8qzcVmuTuA0kAfJhtQmSiXcPxUXkzhXrsBixS6rZbJ8uKFZf/E3A63 -P2bQVE5x2/4//+W4zEZMwPD9P11h8C9KOEntjmwxZH+emQwYFx7mim/AsO8V7Q+BuECVPMu56lAH -kIsl6cTQ9Ar0MrzcfFvQb9bRUkHNoR1EtW7TBRI13XAW0Jx7IASbW4aRHCHjEOfvDb6AyXCmuF7W -YM7NKSbFGWRxnI2Re63M7FF4cR7C2CfHSmeXfi/QEi1odgQb/xxRCxgDdzPg60VCw+km3iB0miwx -ehGAfTrFDH13rdimPCjvSNyLK9ELN6dT2L6neoWGX/FawfV1EqyDozB7YXAkpQmGRdJihysJkg0u -O0k9ZL0EDYW/DXtsctyVhUbfbqlCc+0tZ3wPjF3OJPjihRd3n4vd9Kg3ASl/3CxYoSV92iEWL9MV -Oucsc1N9hD0GHO2w78HkhE1nQi98UtR3+Wz0dTRJXQt3EqEZv++BZ+IuUTWkMsG25oyRgJpLfWYb -HyfVcObvt5VA89mJbfO2mVPN2rx9/2d5Us7owkAogkL7Ly058iEUsHWy2uu6A3WYnt3D7SqEeMOY -OVysNITllJLF5Tv7bYGIE6leKMzK+4523/wCi4veSWznd1UXiqkuy3omfuXrGXutQtM5f4w3bCRG -SzF18O0t5S7MRj1jxDgcE4ff1a8x4e1cP5wjYVyPTsahFHD9crZ3TeIMekv9U6yK5QnSHEkUjG8c -0ZsEyZFlquS8I9VZrO3ou0xUUOXzwIaZngBQSEyQsQFGW7jUV38v6j3f3RPuTb0FqU1oQTsn74Qd -31dCal2E0TeZtLsKC9+VRK2dToK17oDoyqPZUlVCfMBafZQsWkUSe6lmPJm/QYo7AVP3WaKCroFs -Vk2A2eYKPlQJz4uGTfLLiyKiKjAw4pDTzf4kEOlVwQJ58c6YjWwjTTPi+A3+6GmMEiRpnjLVMgn4 -RVzEfTFY4hiJbgx4qexOQfbALX2DeMc/UNHRtG7m0GBUNS/moZqBaKAvQTNudKAHbXjBds1rpThb -+WKTcAWkzSvanOPUwr7shFwbQwDl1WnLU8+bQs8HCXtPKlZVL6akdNxv58X1nUnSjil11CJphl1A -VVxRdsgdwAUt6lyMSR2mJxdlTMdXlMusXzQWRt/RT/1ekJh5PKComQZqRvAglc7M9tOyzuy7voMu -L1QqqI5z41efKvVkTwPNKIi7f9SBJvH3uac5S9sCxvJ3W+MODELJl3YnRyMd86n1F7JxO7qkE/dG -ZJNNcDBfVm2xUp2BRTMb2Bi1Gai2rgzTdusN81KRKtCdbIQErxk9NhnVUIowaClNC500uggJGoYN -RmMGriL8ZSMxDuBOhdq8x/bIDl7PRBFDeZ1bTBEafrX+O2OVStGM23zoTI0gmYs9UJV3U46EG6lH -MP7wtUp70QBtqz4wBBwOYH75UCc6YPLp73j5S1blgvnEG0gIvZbMvd3//FvRPWxI/54X7m4W1CQs -M1U6M+B2XfZx01IxqEpJV5vIETRj/l0sQuzbnM2ch6+nr+JMNHF88y0N/YPRP+2oIdZfiG6muvLv -KzTQdmiBwlvO1TNBQiXdChqHQydTchBM1m7Vv5JBvj6X6rx0szh6gVhJa/JuKz26HlOikZmC/5sL -OT+EsFJ0pknKehnmfm3teNeLXYs2sDDphvt9MWAipswwtAiLflK1GpQGU0Vj3D7stRHOZ7hB+q/s -iD0whprEVLPC4K2RpRZxx9pVEoMdCJ2w2iWy7IUct0owKZ8XwlM0gLH9CxEDFh3U5Ojg83XYFCqK -ShLQASwwA1vIKVt4CRzPDOeea94gSCtUcHMPwYPWxDJPnnZde0de1UfC7RsYeReUL2ts10UwflB1 -62c8jHoxL5rxFp8kIKFbVIFwwO1CBATHpvPx3dqf0bn9yh0HxlYTn5IiGt3hEZRMZmcWN/hpPE/Y -Q+cR6TsTdPq9/lH+FeoF9KV3Wb7UxS5AUE8QaqkOUa6HlTWKXw1k9gUIhzozu4PnjfA3ki+8b/sq -2nanMLoD54df+gXax8yE5F2kfPLUf3kyEYxuix4QUXVL99zVnFCJfhCSd7XK2maG4rjXxipuHsy4 -rg8n8nCX29EYurlgTq2QugKmELaznanOuoNkKerhPKlXEXSPW+7obEO6nWXrwZsQm0CDansnqYpk -pvPjWF9Ah+9JiO52MTqcG2OC3sPQDD2uo3z93m/gZRqcK/UQFoMwwUp430yulJt88OAqJO0GBqGv -BYqjO/w3AMMg/FXIDKNOsxKv14GH48LY2kzjldBM9ehlwfaOMcAltfZFXYduRu/bMg8yXsmD94HF -1rMTkA7yQGQa3/dziAlKx9bgUNPk4oMtiHTQ4LOyITaNqAn+W6CdEtcExeg3o9H/OMP61h9TBL1q -vP5hpyA64UuaaohNYSp/z3Ou/vvD92RWZ1YMtjJn1s9oboV35Ogug+l+KIxS3xHnzWYT/m25hqHm -5vCaQ+YSQuMjyveIim3XWoaJnV9N5k6/bnW8uM6lvMzzJ+ak4p8rG0lWIQwXdP+X+j4Nlz9upWX1 -1Aup6fGIZ/jqouTmOjapT52yB2+kpEATNcFD6SVGnr9BoeYEEwRdcAdpfuewsynS1xzUW33defYX -OZdF4Y9mYR2CUqBEyaIebskzoaFwnFhgsWCfzpGf9wE/ipXOpsATTnhVZOyDy8vP1P98pvvS8rCH -+q9tixZlbSneAblXzCSmqIZRBxIbrViLXw+A7/oCVIvnO0rxStcHVe2pbl018hV//38AqpTniocX -yB6TV1l68pTRVc5z3zEF5IubR+x1fTORYYAuI6655MFdLOtVCVfcm8RQTtjqYY/NJJO5u2AhonnW -oZB2sQdBeUee6ix4izzmd6/LvXC+vONAa37T/tKWwxU3q4YErGY0DPC+q/sK3uRpgFFhXqJyPA4m -15jtnEz3KgtwDdbVV9e9D4xzDjmPtZhNydVGJ84+ayhF4T+oQ96mm1xSSCBBt8k/uk3tkSjcFGNB -TjQ9icqKYKdyA5vv5JvaEgI/BJivnJ2vNw5ur8K5TrNqIVlVI/UbUALOp7MuMEr5OHLKwEpzjKN5 -dozlOEZI9ag5ybhBf7igBfpJAKyBylFAdzWQpm+tIkgDQ40E3CMVfy7IJA8/lTW1PkpIGzqhegVo -UVCexx3TrxeqP3Dq3keJCctqA7dJCyCzBDjKFEZNM13k4XceyEK1ojg2+xjy5fYEg8Dw4ixXRVNw -/2pQkkrahxO6KgkC4z0LXwncpqdEmmmk647D1lYRFM85UDpVWbHaRt+6598PDTmO78v7Xsjnlx5V -ray8X3R+kw7tqpxXPOz74h/aYYH9K7WCXLuhtMc5GJIv0PSIIjfgTbQvw1RMzBQnCssyOmK5MVl9 -gB3LHh0M4lmMGPJvZ+3jFXusKmELQo98p3D0ZIwNsnJCnAfrKS720vYkq1USxkgpo41t6OLtPHOT -yWV9OO4Cdxy8pFnQKOTMKDMYxDQCzNODsiGIrmsZ7D/TjAEtXCnAJ3T98I7zexXLahUe046UKYL7 -XbbxBogdvcrWqV1g3APB65WHuGuSXZ2o4oBuLXR3sSxR0NjsubyZA3bHt/qM0WX0tIi7H60+IGBd -RgWVR8cVqAXVcR0Hq5Bb1JoSC7NHOirkxXi1uWsRa3R7jDY+qAlcX84LPtc4V5bNI7y/AlP/xANj -8wTjiGDWCRqTiXdtyUju+kUWEbnKfjAT5TAwMfFmXB3dETjsPoYt0BrlMqLqXevffK8221UDgp46 -bDVK6rGy8wjJn+6NzZChN7OJTHcMCC0vUd4jHzXlZqmM9dhVIYkUjVAtPcx9ppNVRC4cMIz9VAmp -qniy9dkqvXswV3YGhn2wC2lfAcYQR1fpckuC8PcswHCJtUg7wqykjYxz8qtJT5jmQTZP/C3Y5GeW -J1pJFC/aTiAuvubXAd/maHuY1WTYZGT1gWNhEJQ2wgmMSiCvCOnI7GHwsBY9ioOgZ+AhLMbpCTna -gnGlaX+BpjzTSufv5p+v9UotrH4+XNFi82Urdzq+zIU5CXwgCK/kM0BButKibRrw1PUMS9xKl7BO -H+LNh6QYLWhw/UQG7CKrB6vMspSIRtckaNMF+1vsa4T89cnQf+xf+6+dURizoA92zxPoFoALc1LV -AltoY64lUoK5me/qQlGWu1wyzuMO/j5FZGvg0djKX/2NJYxFlf/phjN/CB/a+wJWpcCzFKxRjbGB -HDMJqISH0B870DJ70ka8IA3QzEXuenkHer918lLN3TIv5xe6OTZWxhfHU65A5ov+OCjiFCphtM4s -w1q516SxKYmJDdHpwThMvCqfmrYEmcb1i9qHbDkws0jyZFd3M9e5/qq7oE25tdGXUehpv3FQswRz -bTPfOmaOa62Db6PRIoKM18zHJW1jm8/KsSwvy5Aor3m25bOMNRMC/heeZtWpwmCR5KBzV3NebiDQ -EBBYGcAlacniFPVmlRJz0zooChfIPh1PDK3IaDgojy2Di62/vSsRCnLmFzvf9vGDZh/Ma6Oec29Z -bRZ47Q6hXiiHsEIMV0i6RBZJho3tcH74izTSvcjWK5rqzgSkfNkKz4h57AWhyh6uDntIuue8LGtc -zqr4R8RREKPbpN/bFl29PuLPUEifnABTmb0IbRxG1f4ri51MKiasQNYvUqX54oCd8rIt2SRTolND -iWB8jgfhXtMkLCpbW7dLCEz2wRoUrQ8m7xJWMfYofxyr/0at29hw7p3IVF87/oeR/kWGEOtx2cUf -76X9PA5o34GApfsi+nKnyQF0zrtdLi8R2u4CD6s+bv624S3B/v155pr5c19TDYlUt4W1GuMx/eK2 -vzszEGR1GTLG4O1YSxrLw/iT6yu4cMU+TT1zakwziNAIxE17pqTTWScwGqaKb/OwNJKBJ/CYJxD8 -CHJgFnVKL0qCl8RRUArB9DxnQ3YWBInQjCU/0SeNQIXNtf954TH0QDZSIk5Adt7qDeVt6UOzYczv -yf/oDTBxQ2R8BtX6pQ3GqHnTwxeCI1F4As/iHjgTlyF7L3d0de/lFv3pB/fEyutbvvR6Mk10O9uT -JuzJWMMffWQBImZ2oaP94cKa1JR09mCEP51qfIXumijdwcY+VYN0HUG8NxIgalY50flKe8gRIlBM -RPNePhHNgWddJmPgfpoopJC8KNzpr8FTQ49UU2sEnL5QLH1rc9t01jfVG2KMjosey4bHpLRja/q7 -3BBUPZ1+wBfNiuDBJUR29oSx1JzjWzIljvtr/kQ6iRuxgcQ81YEQ/Fv0HhusFkQ0futggl0LoxuL -//8xnlUmltxXzGpeqV84PzhRIONqdKq3LGo5G7QyziWu2eNA5p9rGb1EHmy2IAiDZIr3X+2hBdxT -IUuifwgpYKUwSxl+30VxwJP1bisXTudxjGjK+8TO2+o1qzN64S4irZSRvlEwXPh+8PT3Xv1mEyA4 -4OJ5vKoIkIRtbnVMZm55iBa9heMcvGi082jriRtdPDZMR8clG/y8hqzaJRk/jm8BFk9JF3Ewxt2f -YVtnO4vfjmhjp9pbFSVUPhhrrZXczeGUFvOaXMhwI1xT1tCFz5XYFzouB1dSkFWu47Vy3kLU1uoZ -0ltdv+9yhRIKtdtYhqKEP1VULqpRKtC/enWW+YamyvVa2p9vJndvaIRqk04O3TX1pYEygU/TQGg7 -VoQDnH8qSNmpOqenisyqIQox0XPYB1MoKSAbNa2cq6W9Np3f/xQmh7M1KOGS0lgp5/IGJUh1qnmP -KJJnIkEAfXGsPKC82Cun3SCoN4WNUEQDCX5UgzAAep7HlO/K/hkWyDkF6Ztt8bE2hjCRGQD8x1Cz -yzsI8XTYCMXdoZa0/dsSA70wcAip/19gyaVWdO7tq5Z4A1sLVW0XFtUDtserVUnbSC83uzpXhUlk -0yMMjk/8aKHPqa4x4FWNhmsXF1Dexgf89Qp0UIaAlVyC7ZFecIhFjW92VjsEZ8QHpfY8FmW9AB6Z -1Sh5dgJofoGX0yhacEaVImiSG8yo928Zc1jWuaKdMyJlQsLI3faaHImPhjdPSYqJ8qtOf/8EDs4y -kRVMTzKI26VTBC4u0rBUpHW8Xav83YOn1R9G4tBuN40LEH39NLliGRca1FjaBm1fM9ROZyAIIl3y -Ksikf2BHQwdBdKqI3+rPSriCu4ctPxAsQAmPDYf5SRNc3EsnhmKLnw4ZSlzvKJgCYKjjMOHS4mJ6 -Hgq7Vslt/ocaVV4pScVPpzeQIpeq7zedjWuhGRxgEBJDlQbw9+yxG1lSQ5Va8quTs5RbAW88+g9a -BOIz6KKyul6MiQjpxHNKiQjFmHfDqVDszyPmLvJzDNBe8AzBzKukVubNPUd0PI0OWpwIOoCGlw6J -yxLFyHGJRLdIt0uY0qgDD69+DQwhT1HBTEnUSgM7ytq7LQl7HQcNpD4UxkSRy3ho/jKjzDGSwiTP -Kaz5aq0gsKaNSx25bTnPAf2FmVZoDIASVtWYaeX7sj+JUMF6lFtykikpV21mwP0842qpI+WQCPwX -DAEap3sXqN1QQUJu7NHz+VicFPfyBAzg2Wq2JBldHmWBxP9+dGie1jKCCsW0IKXD06GdbWx29aZJ -8alLkgd7JF66WGQbTVz7yzIfPjjcCIWsTjpQ4cuhDk8aq1LtlBLgbeeKwbqQVhx1adRnmjgms70E -MCag5QBrhL5izcjDoxBxhuZ6oh33Im+CDDZH54I7IemDZNnwLTUxKuxJLa5+BUc40eofA5QBIMNy -Xop2nR4YfrUBfyWrQ/bmHHDD7Fhbs7Bdv4mvUoy/lrgyMuce8Fkz49zs7Nn7DeuGmC/D9aMyT16P -CeZq4iZnxGlpdWeZFfNn7exKU5n+tlhFbBxHmBAKlWCIRYNQnqgZOOGVU8Dj1GyUzSE/xsTpjLMS -9IqHpyinQ1q6jHgTIKQfLi+zXGlV3Hv3STtEVAhQZBH/GPMw5s+oQw626AUUjfagMBccJA4FPTpx -oibrmqa/+P64/vzDVqt+x5hyaDHhLfbfQIg+mALxuTJ9XR9uxq9ct2kPIGtPZwhkHvKy+gZiZ5Pp -DdbXZaZ0GwmRYBEfAOmX9c7GvqlgWWLEKgSuQG4xw8xiEiqhG3MG7ReTMnynXmAmt68NFSKUrUva -5UDc2lfUcyZ5wuR0NmpsrUgt09xnjVint4pV4d36rGPiaI3/LqNG2yYWyQQ6h+CRqj2PFlOfZusv -5d5xJlwZmVe+xasC1TTZ+lHCy0KeeSLUyMI6zzml3XRf9W5C+ftyF/dy5Qs5p1R6QnqTiDhbHP/J -pyZ78d0uwzFv+NrcKJlp3ILBD9garkU9SmJt+VMA6ue854c5M3Y2GjxSbihhhDzJOlcOKnO7m0v4 -SLfi5BTRGtN9HCqhbA8zorGF35CChFsHJ9J22dppU8tNhKqW0VjjJvec72Q4C2+F6uLfOL/ykbnT -MLG5+TE9SXxaH5R0gZLvp+aXyGq20/c05JcoByb2Ev/N0NFMG2FbTCmqTSs+CudirmMT38q6b45z -c0TvFi6tzc+t8YqikKuzJRm1m1yD8InzZk/IMxtc+U9lCP9rJn1VYrUGU/vD7aYCso90UAjKP7rw -7iOb7KuPSEK1STpthtvoppr34LlvwjG2pSJVPKOlMy1izpQ1qzA2C8/1FPOg3ntDw0e6kJCfUFLm -3r1KazbEVh3jLPs8Pa8pBfA1CtHuPo8jJIVrsY/J13WTeZ2WY8j4Z84z00k9g6T+8fgDjw3KWCCp -UzF9if+cCLO+AVEW5taSQwvtv0w5XG7qWTGXh+7qwoM9XmaHP1Rba6gevG2zZ+LRB7MVPgCMh5mM -/lfD+lM3yweJ2t05Li469npQouvMEv0BDK4SDHVcyR2IVYiMBwYEt3IwmO1mXJEaNYYx7/f9dv9c -iRdSJhpKL8vcrbTiPULpUjiGLIM4GuQETjCkaSMPvLlWdtv47MT9YH4Kga+I3cbURoC7/8AseMwY -E924nOp62Y/nBaLxk0etI0btZIA+597YwzblCz4pP+0IFo8WwfCA44qmYKIh6KtXE579NDRTrg1a -Poiy+h2CEJBE7bCpQxaUJVsDoV4hdXEqBu4ocFirDuXfxGnSxRVGtVnZcJIspQb1BIgAKf3ptBsl -gPvhtwofKjIms+hhVjAz28NuDe+3QFBcmC4vyJCQPLOjsAQB/TNQWjSXfM3CcSJoaU6PX9l3rZ+N -AhhAxv6O7w3YB9GTqEdO6lbvvOcVTSzrRnScErtkKUSTOquKrP+lD8S4VOpHmexgI4RzHJPGP/fE -EK/UJpUVmcFikE/963ESAlXPSi4LUimvJSVtkdPxRis0PYCgcUuksUEdxKKo8vxnc/rH0m7r3SIt -Rg8H03JZ+rxYes3mBFQ6hylzcPf8sxrhOqgaWHCuMbrQsmtFAhfiT7WNeBUI3CV47ql3Z6moLTs0 -Zo3TFFAuFZUFlMD6LN/fLRmORkmmunKPMbf70DIqJKfo0lTdxLH8KlF0RXGEQ8vReiKrDboQCTT8 -Z3QJqGjDf1aR6Swr6Cu+Laxj0OmRBPvGNVYVLWXiUZ7fv1J3XEEBWyWwSOEvQv/2F+/M4Ny1hMOv -7dRyaefOgd87oYWJlWDa00fdhA5g/yxSdLLlPCMIFf86n3vKX7hDG1nRBnQ5g27snwJjFTHHCAht -LKv5TXcvgAPvZNPuLKtYUoo/ftGsSW6UfdwhjcbileDAQ19y/RRfLsYYp6M4/TbTlhPUMBJsrHL0 -fsousds9l3tL3Ob+Vrt8qBivuLDaKhnN3UIg+cEZSnSfFixO9BfFoE86YMB1k9cSYupehsQq2KR3 -jUOVemtdoWxJ1s7sShYUJ3N3JpdZUhkD/VR5cyA5QpMOIf78dVEE/dAE4p7Yp4h5vf9I4ludYZ4h -m0j6+SKrfziiTrt2xTqrhwh69FZd6U/STkH+aTXH4U79Y8dYb9XK8eAOeqGrU8Stpq4K5NC6qUF3 -R/5H9dJb8a6VxcvT+l0AVnKRy3HyT/Bg9XbahHYCRlrvJ2N9o9ygRq3Y2197jt/dZeeictDcCOkY -uJN3RdZ5wBBsYn/QyiRIZrFdlYWUGqSIxPyPucaELQ+uyBHvziCdscop5kNe1/YHk1C4uEe0OGzq -5V1Hw/xmOGtVX6eRYWRi3W+YxJZ0bm+/lvN23lROJqSBj0rX0wbEJsxXjdnMZuzLmsjFekRDhf5r -Cmm8eDVbc0FAzWa1zVIm4XRwYc4DwxiGBdqr+5V6+zxwRh1xFu1zY7D43aWvfcrXHYfadMXxM1MX -quwPagBDAUKmSvtUr8KshpmqAn8pu2fdED2z96zSyiZbhnGsM5tQTDemt3rq09eJoYuh4EJljvoh -0RFTh0pbKCeD54rV0Bqnu5/9rIkcqHpiL3S/GMKgd3vkujyVyUMC4u9hO0TqG0wgvKvc1p65vdCQ -/LHmxVAbuuTestBZDfy9L3oP2zD1aCUMdCpdA3bDTSmLd1qw703NPBBtzLGJNlpCPyhQBgYbIgbP -jUiu/IMJ+IzkcaLdGvLuWW9BELsJZLOaUT8xlzWovOcQqaiMIDy94IDF/zOgV1zU6rGL4OMwEMKi -UdsQZnbsMVSKJ6XOXUGCM1ZLWzfrkEJBO8KON/OIVjRMA+fmmCk8XXQDMwRWYX+6jErOm1yG+TyD -LKwQyhgdiD89QC8suv+J75wK7luGqmvSsNxKBQXOG2H46ddDHiWthrd65zEl2tH43iPDYhu5NTEY -eeyEFsqpNf9/z0vm3c48RtDPAScEFKs/g3QKBA58C9xWFGJpG4T+V46alTZiNkw9b8CJ5pR4Bpeu -sPz9hbJ6Svt3+BWI3foYYzUikLLzJFzLWRlCyHk62kYFN29IG2PN8JxwaeLdN7Ls3z6qXC6xord5 -N3gO9lvAJ87aslxJkXEBfv1B6Qz5IF8TpvTQTNNcctOtdkfLx2FS3DMRgFs1aIWiPmMwgtOcyf4m -JlAN4md8ehj8NYzc1fJWAsUCJ9/JIAHaR/INl61H8jjLz2wKXKgpg+sfTuYv24TSxVQF4OgzBJ9C -JTdgh4VtY40BTlHUFQBzCWX6hFqlhotocGRyAVFUZVcK9aDqq2IwR+e8DvBQ8hst0fLFrqb4EJ63 -pu6mQUIRpIhxPUJoQZdFk18KoNR07Fl4oa2QC4oQoL5z+T+iC7Vrt6KXQskUhO59CdPQPQor1yjY -69ZSHsz/IOEQZfm6wzcEss7wEVzryoqCXoZAMzxuL78P9txZrZMfquFT63uZ8CEgAG93uFP513ld -BnSnrs3ciL8aKLdU4QHybDC29uD+uvxORUWv9nKFi2aVko+nu1j/qqt0I0gAGpWe8WqdlPQjlBCx -vN/3trxpfULt7vbWD18sSs3FKL2XYh3paV7i8yvcsNW3IxLnweh6vW4BW75Y+4VF+ITM7NG0giaJ -8Rg16ATCTS0WP92vUQg2hxfoP4iZk6zFINjFVxlwXgtA/3EeQYYLlta5fv203XANwqZUhaUYozTU -amEsliGMw+YwHElKMiZzD7CJxqyPqODfTx6ArnBzmB75/ob+7PxC9sDnw77EuoC3/0pTwNoIXUgP -bn27m1M2IdEq60KMesrJPba3U1098jUhX0SV+vZ9jjC/C9t0Vjj8w4pxsu/MXzvlFU+XiWtLIaHb -bYsMAGbqer3P0mWL7EJsLxJuGZ3gHZzILNxALDlbZ6V7PSHzQCZpqFrkWL6rlnwRskNEUuQZTJri -qSKpB996qb8FVEAVJ9MJb0bIn8YaimlzyV5f2R4bAFvy6fAWHaqGFDiuAIuV+Aij8YRuzXk2fiZs -ZUoVPGnCmWGPxAg8KwYvTzOM0UCkm34M7U/nDUdeti/TL9vsdNT6NnTQgpumqNWeialAJHhF+luK -JgkuP+Tk+jkg54EVww76CnLYJJUYo2ieze2+vLQbQeOuP4Sg3tsZBakk+5ar4k3LVLEJrXmklARF -v0mbuxapiSfU0jkPIAOQZCiv4/7uDyPp1vXs0LFR2ZtroBzcVCuEUSchl8Kwo3IGFYLwOuD9UXoP -D8F9S5tRcrkXXOG93b8Rt+AZAXIcnq5Yr1/6RmwEPQ9ii5Ogg2y5I/MrFeALMv6d2gm6Zumvk7Ns -fJw9nP1+x/vFywTcVKvRihc0W+XM5paMuu/+kNlZkgHameMRW+4pienOEUTRHKk7syhR1kD8cctp -1eL5B1faxP2vZE+jrn5mx3wheF8M4/QplDxG5FzvPkeEIehITPdA2C2bqnqdmrHCpxRzmmphMsg5 -fNj2hpPFIAneHEKqffxyyqjZc1OBKUioPkFGQZd+7pQV219iBy8ZWhYciXVqSnmRpYDUH6tP8JnA -Uf4Bz7X7QzGawRFMBoQAMIYNjYrEHth/g+qmOFvggJfr7NKjsyVAtjEJMRHneF2axOjMLq8egyje -PS2OysxSsHYcCo3dMJ1pIctXP+PfbS9FDcDn9CbBcI/7Ol4VwTkdFa5b347jh+Q5Jdr5Ym/N4l9p -+BzNrVtWHYZNPYKjYK+TNaz2CGTGWZdBMrr/K29osY4KAk37kBK/53BCdmOuXDoWzqHwdM/GgUoG -AtYFERVjBDo2VBffiOkwtZnIrGb3so6bPqBlOe8izsz+UR4ncA9uFita50NobC1sChcPySV4uoiO -EP1v1txPG7UnDyeDFyqon18NB1MBHZqLKuU80P5tZqdAxtySVLnzrkyuJuhSFxkgBChJCus/88Pc -xo4kj0qywTKmoEPxAVis1ysjqBewqcX3NglbXbl36TPCfJ7U2pgvr/HPZnZlxqbcG7LiwSKiVdeU -vhxf0+IiKHFu8lM6Qv9WWOiQ10xIeQ3ypWNjHY8s5A0WXs7U9HXo3sGBz1q0FqAq3TRORboeZ0WX -6fvgx4XMKtYV0HFz53qIUFcPMS7VueA62OCJTue8URtygTomuLx1EOwF9NoTwPucVId3Y3BLBqg2 -UHO/ze5xLC4757fFRedq+aRaKNDafy72y5k/md7mLB2uGaj/9OnuOnG/K0qgO037LZwYwzNvgQFl -BKFNBZhd/S6c5vXl2JR5RCB6Wg42NDo9Lu8hZd9n8HLvViLpwiMdt/Q8NQB8fG3RBYuDkEATJrIt -tHTjP8l0edlyXvRfE394nMyUz5Z6Nrerqjc/MpnZ9byhNaZCf31Lw2c5MZ+uITODNWQcMLz9VrNt -32JvjbnE0Sod8F8T0bfoqA27xr/a8ZA/xiBs+WQxiw3t6hLhuUvP/SB3XA+VPF5l8py6zhhWsRqn -NlwDrN00kNo+65n8JKTS68t110T1gJCDSTgKm50RphDz6Ot53FEWXuna5JJ+le7/LFnbB/4k7fIp -Rkf0N2tMGyxo3vNOrOo6k6gx8zYb92/lzYvygFThm3C/pP9mOTLW945hGxBWnUusW994dGC6CTQx -DmV03dIIqZhq1tL8WtZ8dDX6U1gtzJfjBWdsy0k9vvrdGfo4GwqoUnxxPfSZOxmmTtuXuTr+70qe -s2o4mxJ71gGYSYqpjfc0LfZ2jVv0Fvi4ye+NhiuMe/8xpSDTD+N3uSGipVNTLOkyWUCazbwV/x57 -glKt9sO1Ropez/gVqlxW01Mb6NTwrMvc5ELzk8UDUIXvWDKycj0QQYrwqhZ8LehDVMoZEqFrAySL -h71Yq9qIvfrmubWQqPJy1FiRTahTxioZ+7RlVeF3JHOazahFsyoeSQDgZbeAP8M/JH3rbRPC6623 -SRiin6OQ7DxZ0/owVoIx5nxSvr1HtT0qQugkiLHXrbwLByJogdyYPhS96ACKWQaK5KFVQrZ9KGOM -uFKCHJca2RKRKiS7saYHRbsc7Y/HkPaqaQp+VWuJ7Db5IsfdqE0JloBzyyaXdrAjsHd0V5ju3QFA -g3q22BxNY0Ds8V8ahh+O7QUN4xJDPYqvaeC7adUOxOTd7xUwGS8oljXXbSdQpboiUzKRv3riiqfW -UtGZyhylOT5yWQ/swJ8H1V6FDcJLYRanY2cZRTqK4hf6iPL9rRLxcbFQv2pBbKENAvBtGfKXiK+x -Rfi8E/u7DiohMRnnsGem3KPuGHapFzuh1M88lS8cITzAyzKhH/k406MYyMEjEFdsrk8U+DPcgCqN -GIjIXQIcfG5zBKGilIgAI9/5xC+sB6gp4C0nAM44TvY8Krw4NzkU+MqtW02rYvKogoUCcyHksgSi -Z00V9FkomcLbe/+YDd9FoSrM4T5T0wNVh/8XH0ujuUYoOMrz0RYNh+xmaQZ34mNFQaqW2if+y3E1 -CeU8AJ9Ys7x/QjPC6tdWTf/ewj/B203d0Ca7ovXPxRXUhrcBHn40FjcUvFl5MtEU2woFN7fF1OGP -+VB4mIj2DVqO/Sqy+jmbVzgMAJExhzTjbhl+wmwhA2LlEdFWXl3lOPCVKaeyFPYhfI1/7GjKGJ85 -aBhm8gg1loTeDGViJoedJ+DqDqvdR+NGDS8tBZ+C3U3X8rHZms3Q3j/kjpSefbFbbBirJWYerYI2 -7MLAP9AI5RdKwheaOWL6R3NFpHT7gSmyI5OowPiW/dL/yVHwG000LA/oARWs/p/eQLhGn9yxSL08 -hocUWwvP1SOS4X/eQ2gAFZE32HhpNtfAiY8W4LJqFAHlzDBkRSzfJvkwFhspQ/oA1VO9N7/5sZK7 -JdO7KqM88sXSMhwz6TI8Cj87OqUQ1h2PtjkyEhcv7FXiYkMCWT/NzGjsuHO4jrxbvivJuU9c24Ys -OMZzl/SOtkO74WgTIT4EkzIEiKgnPm83sH+DwqC5OH3HhiKnIHvWRwbI8B4fL8h6A7OMXqa0ABqC -6KmDM01swm0PAnKQdOtJIpVCQTBI/Zy8cVp9ezPoMhTEB4WZqKv4VH9sJdWqqv95HclWhdPWRXtF -7m4yVhaVdUlFT4Ztiji9Ic2rixBlEa9tSRXNPz5tR31bHr8M9/NTHE2MhCdncSYSasXRcLWGtzuI -2ik6TUg3OsU8E2ZuNr9Q75os4r8RTj4lKX4Yb4sFG7jMTAwmipUSwV90xBQSH4IDhmNyT5FTOK4H -NDmqAf1hmI2PjgkWswdY0TjftTGE//3PcclNU/cTm5oCNjTibbWedWRrGq4RbewXH0Yuaowj2kDI -E3gvNkI6dJHgnat2IyZyAhSGSv91A4NzY1EGKZk0QlSiot2FZbulOeeiSQYf9PQmkpnafl+e3fLB -j/iEoGY2yXJ7YZOzxQZi/ZDCib6dRhEbf3IbiSW1Ac7oZBQKTINftd/4AA+fGY5LNAsWU6Vuy46t -/sdU86hVTMyKaMsfqsf2oQO3BAfXCgz1NdR6PrOFpT9X26YcaGY9T70Fh56HxQ5JzDBEkJGUu6gG -QtxebgBmcmOdTzK7B9hoKf8vafopvhPNa8xWMSBSv4iLTC6u/M5DL00BQq/wKqiSSPYjWwB7vJoh -YTlrdN7lJMZR1UY8daYyU7nQI4chM5mYr+s+pvRdFnKEWC4PfpjYocubcvbP+zFoIJSxA5JOLHYr -Sw7ffSg78Q+/RlRMrHKivdkaIVdm5hWf8c1NNWdHU08zq3PN8LMq98zPlnRSTiWh7v49QQSjO7Wf -Ipg1AKm0UlU0tYSRB9K8P/paC9C9P7PoQRxQRxM/m1bzOkIUP9QJlT30NKCQXAHXgjbD9hbGxmhn -7nXmj6+rTR03FziN7FfF5gUA3xXQgo0f0oXWTYbncsZSWIEqmmXQ+i1/WFizDkQDKBl+4xIVVCy6 -Pp24rjPdCLsB2pVajnIq/+XXlohqs4EhFhOUHP/TzOtGGqaFvwDDm5qlSsBHY2mAkYJT8+zM2nK7 -4JljSMP4ayAk+s52m2thxiNHqkpALAb+go9LBtxxZ8bRyotkxaml3K+jx5JuwpTSfj8hAPzSw8ZN -GjAhVg8s0BrTlS3o8D0QlFc/u/FVc0aQZj16wWkxs5+b0C3gb1opxaxxd2E3K89mqoXmKnmxxFTp -c2iU5S4xdWL8c/ThU149dD3cKK2mm5d2Uo9wUuZ6IOZjdYVMkNMqO10lW+Qgp2ys4o5CJLwYqlOD -vvdKTyU2aGCQzBIkaErjeLppTOKXATMfEgZcQaxquFqgqc1YmccQzQeFXEK3e/wrJrsFdkr5r3kH -Ag+ZzalJmIBrQOnVJDUOhp/QC1kVVNCt46IcLw+hJoPoadSwTTdS+NQtARAohvyYb3D0nbfCVp+B -v/chgP6obq+PRtC/ilzK3QfyoozBN+cO0yfsa/9ZmKVXCGFmW9Jj2AcKO2B1cqK5kU6KTPKkh9Y+ -iumUmMDV711+7PEAucSCma2pOPL7iT+tAWzpSyCfJo+k3sfuSRZJAOInAKJ+hl8p7xRuob6JgKdb -UtCww5FQSqqLUU1J2z41nzmDGeZqYKALujVo1z3fpCLzULkUL+tiWutF5NQXz550XqzkLKTM0VHA -UOOsx+YFM9Pm9ssT1ouYWWLmYYCq6z98K+VjO4iBsKihMkxT8n/6v7+YvgVFtHUX/9jLZqdNhLn4 -dX9zSRL6+wFTiIbY723m4WAngfIG5+gmRj2saMteIm6LmqGEGzzWkDzTgTaqDMyG4XJhhlNOycOm -qHtd+unvJa8vKCiS4tWuj4sUWLHGTNb+RxhmhJc5+tDoszgIyBod8fu3LNDSkZaCJ3cVeBsH+2tL -MMF981hXsBGqZ+O+P9CcExjK0hwBfrX4PbAbS/hdLmUeN4BHJ4o8iVh/EN9bOY86NW3i0UeyqrMy -lyjpB5GLgOiW2m0/Tr3t5zUra79paEDmGEoKBDVb2XAj2Qif+lZ/G1QdzdM7GqUA+dD41ANj9hHq -ks8RFknm4IwiUCZZ9N/CP2zp8K0TZsfxaoCyjl06jMkpu60IjxIW5gWr6mh1AavzrcAFRQsKeT3E -EWj1Ad/RWANBvzPQFEPN+47rsfhBCuoSqIndJHL6COy1JsleuxM3L1ms+/WcuFMcuu+1ga5tcJyF -QQSGI1Bl7oirZwsnL7Sy2cBT5NJ/tasdET/YaAIGcSebt81ZTB4RlcXhNH5QpN1k0m82PimQ6tnP -vfZfqA5jhmnR4p+31abXT+aLjN837utgciFvlGqokfdDn4iSH/DWdORrAofseToILaYJU3+0uU/U -bIKgvagr4vvPmeRLl8EvG5cM9UODTlfx/xXnweHE85GqQzYVNxYfK/E1afTRhZoc+TNNEtBNgVfi -LojrCQ58vT/r9OCeT0OPvm6h7bfO3YK07TILOvHdPf8r3N5JJppe9yEsfp/4YygNC41LCB5/fOoZ -T/d9i6sFGzJGaOWZ+YFsVWpiFKQyR/JjkGV1u41CIYaTOo+RZdW/elnzRscha5qHJSrEMy+EBDUV -GuMMWAmU9iXSHZs7OyhrqaAhl8wZpts173unjVG4VCzGwyg7LGxPSi4gNr3yHbZwhY9vfutIIu5W -aUMDH6WBeAK9+gsaYL/smNb35JpWdDFCtPPGAs7aoE3DlmvCzV3zMnvRHyn02v71f2VOBE1H9fNu -7EKV/9ClintQFJVtM7pbbvpeJR2pimQ4CqScPBCVuNUg6N1RjOYKQi/qwMBi/EMCl2cdSlnKPKhT -bA6VtkEWevlCSbIVIt2p9DnQppixa8iZ88/NaFJ+oE4pmJMDSKYCTj15iyB/g02Xmh9UxiLuBwN5 -hOg8iEawEpu5WtDSNXn0/LaxL6/Bf6MxWpe8tpdTjfg7Cje02vxQLUV87z7o/SXRPDwBWkV8TdU+ -758xvivuxuvjjCmXeCj9MvaSGhj5LevfeaV05nVRDEf8QNx2cUnDipVX/eeuTSBrU/Jl4foKmA6M -BGUkUXlMtxtKEb6nc0/2CE7KZx9ztDnq9kGfqYnY26BYs7wt60ooXjlGVYp82Kwd5BsA1AJ1mQlr -K9oKiC/tnjwRbJlGvlJJjBLn2yqkrtUqjoHkk4sUyOo4u9d3aouc+zv12IiFOPqHK3KM28uNowSU -E6ECGn0vOne3mYlNiszqbfN7uNuU/jicmP2kunNeMg7suKvLxXbwPgl6NpuBwdzXL7MSbhy05EL7 -gbv14cjv9ydwIDcsD0tfDfN2ohRHiOc+CniVVGVPmHLCWAF9y+RJF+/DPa73LhZVDVzs7rgeEDlt -zuwbtwZla7bnsVE/zvXf0bc3WDGfRbkakezZm89ndpzy0GVUUleQOTZ3VyWoWw5llEf3Wzbl1dvu -S3LOIrPT1C1pqy0/ha5QEUNSF2dLhmypZhxebHGDA+U6Ua0yhuwy83ILS9BPcjtc5tR5oxYpOtgb -MUJs43dkqS7c0NqzoFVzjQ/MaQtWEQHlhXWp1ENh4GFn+REkABLcTOwwCzbsGzNMpufn1TZQht8S -QJVbjWbyyXqnX/RaVkO09fzZoDyLIgzUFowvrt6yvy9Sfe+7oW5gRBbF7xruDElT6jvIjqFI5OoZ -gtMHj317PM05LGFt6/PtLS56vu0KlqhFeXW9T62Ql1q2QYnnltLinZ9qgCExt2z9Jpc4aUARmzE/ -kfymvegylKOOMUgvcu/a76h994ukMtf5VD3WuOuCcZDHMYfJ5d+gIqwrqsx6ac0tfMNg104iIDHT -cLEyILAuPBFHMGDqsMFYYxlOuoOAoU1lJjz2T2FFJ7XdAiOF6DN2+gbV2j1wZ/OGg6gfStTsEUDz -GtNaQr/UVQEj/oXFJje9lUkK98ayYBPaevaXZrWB2qBkBApXJpZfIUf3/3BxT06z5tyrYgIM9oRD -/SlTclYBI2FvFJ+NB9prx02kRNzFDyRPTbFE4lagWMGXj7PBIar21O97YERb1UU2lrMHroRUc5K3 -ruFcQucNWcNRpFvtCqdhx9wtvtXmlcVieF6D5v3uHUzk/bsZFXKblcAa2riFKeIp6MPw5iQvrTwR -ye8pwWrRZuawr+bsZKQ/3imcdDBec6iciZrUKvjN30Ij1anA1D1wnGKj8KNaszFYFEE8ZJzp3mbn -TZzqrecBLzoyrpzuHrzmMCZxH28pVAo/zXVOt0KzBTi7+EHhfFNbE/mWuV2hMheJzTz9kgB9P+VO -BUBDaim1wzvhhSHC8vgIhuFiM2Ft8w4AOPIyG06j6fO/oNql+6BWd1SC+6s0FrU72qWPHdZ9KTY3 -iTTet7Ba2j5NCNvE2DJC/jLGT81f/15GssEXql3gqA5zg/fELg/koKOmklMDlXp2Y9I5YuDnIYLN -0L79f0VLPPOJ+GViYF+RSt17CJGA8uxcyGoJ0NwfYKAVeosgiiPpbLJkoqKT4f3xKphUfr4jv/8L -odSmWWvuXK2uzS+oLe9nRai+wBJLmdoQ2ivWKv2UGzcTdLR+AwV6PjOE4E3YVK+rMm6mMDWg4qUq -YK99gSLRp/wS0PwhVgy8GhgW+0iTdTWYwZ2WlhsJGLUCVEfDxXcvOaNWMFOh+CrLU5TnmtRI4S+n -3NzN2lQHBcglU7CSlsaB3qsIQv3B0Vv0n3rLhLLuIrMD7nJZSKeHctctKqHREq8iWYeI3muJ9rVq -0CSxewB6q+blm6ziu60G5WdR9lI1x7hVBGs6BQhvVqiR/LGfwEKuq90V6xjyKdlrAkbQX6wvBGid -5T7OKVY5kGVgsDpax7zismsbp5p/iw7ndy50a7NJLQk60OTvtN5kDlIzgSmOK/c9Nx+jrg8CRaoG -N38TaYh6cYeaxfcJANQdmdk9GWzDZ9nDW+CQIzrE2E/O81UexfAP6C2GBD/+5fLFI4C6xjz5lXS2 -Yo9q8Go2c02cd6rGf5ySR9u6GuMwqWp7njuqJ/o+TmURDPMKsirw7HjmqpBrhMhhohWYBTn2YR2g -oB+XIHU2FJZEPLXy6rZZ54W32uOE/GWnm7L5wFBxsAhlWPlKB8JTgJ3u81gTMYrx+BrJW0b/caua -CDDeba0ooyT/W7ilh95arEx07dPG5pQDYGXC/veV0A3lf9wm/MOSP83LkVEECUILffOvp77vMQvP -BJMLIqNOtLJp0mHQq5PMaCschPR+ksHm/aEAgSjVCPc/ZtI1S3R8Urjw7guyrcS/130xBdNlxNFC -A/2C4VyKTT3TAF7dW99G4uhJpqb/BQFhZrBxtETi0/yTlFNcgzfthIBLMhAlrtr40R/02u/MzHPu -UAnnVWdv9tQAMNUkDxAhMKNzKxWDUHlnsQEz6VgYhNSOk82gfOgZJBKH5/I0BP3UwOeZhgujl+Mo -NNme7FDUmj7W8hnoOu0ZP9w0k1MQZUs6Tmp4rieFg5SpTGnO/C7jkbQsnzHzaGnAamX0c8x/U9UU -tL+vIm8f4i/VroQAhHdbgG4INbTg0UDx6FMz6ReGoWJ3imnyBswXu2zPavYKNbJOTjwcyFSwHYPW -fK6ImODS6IjKVOKFWsD52y6qxy8Ns0wcdOvIDpcyfCTfHjATJ23s6V/c8d+eRLrFHxXnWeY9LzHz -0nBF94kQBPoRXPEl1mEycxmNKKohusEgPc3J+0JsGfA07+YvADblsm2ojpOCKLZz5nNSpZGuXBuj -0XvnbLLnz4A+k0qdUPOBuqkMePn6xEJHCvEqjeRACzy/oU1puMh1NzctGgm/gwm35P1YUz/pxcv/ -CS249rab1jQ5di4c9PUuolU0zB1gAv7oUK8mSRPTGV9WGjFaaS8FbRUzm/wa5+zhj9tiKPGJBl+o -fZ4IS6dI28vpAFo47rDj/DjmjuZIHvbwTJBAjERLIyhTiVOJIg6TCA7q1pMXC3f1ONsGiOVa9ZGx -Eh/LfEh3TA/fawJos1DuPXMouBykBY3rDzdwLdxU0i51tyuI1jatJrH77ibcfN+wVcKDXT1OEWEm -kHMub8LaNBb45HP+eZzMRys9Dc1WHyUM68V5Surusye2vuP0Vcbn9/oPI9seX12TbX2XUlvanOsV -7nGuNdRuGcOop/0OL61n2qURBXNYTavSKvG+4rR9CAEu5ZmrGXXuEM4xuXlq2kfFazwcFuOmk8n5 -LpwVuiFB7t+UwUtaZE+MlMtX7UeMWOONvi2CCgxEI2G05Z9Db3/yxOyXkMrUayIosTjOtqHgthtA -nGL+P6BsG9kME1qLTpT+HLhzoLSOauqFV+MqiGQ+NHZnWpCxBZE6njVkS6SpzhKqVYhGiI3N6WRq -oYULS5XHOP/SbSChL+IgTMY9gAMt7l8x7w5Vv/C//hx3S+estfVDTAjXiGtVDDBDqe/VO9icJu3m -whXHNHRP80fk1i92PtAX9q7BX6WPKYcVPTXuKl8efLbOVNh9LTZJOJcFM1B6P8fhlMs+RJJKt/Os -VtAHXVpusS0nrrH+26jzrdJRzNCzx6etQ1LYFeftk3fg/skwbNNlUWe7TzjWH0DnkMARqk54JSuf -CHtyi0WC2vcVgF9171GvofqKQXOrRWS4ktjD0Y2AOqpnkLCVkwIz2w1IpHM6JvXr1m8FU6RGvtm9 -/5/6HoQJikHlHw0ImX+UL9mvo/6zBkRN6rCxRH/wxGXwhCnEl0qDiB7hKBLDggs5lRNnhU+BmnlG -JJuqi8HHCB3V2YQFaMtVA/SkZn1VfBfpMNtKgO5LfWawo1OG+qGQfB3ZMXS5vX/OUu2vQdwNUf8w -ZmyWfOOGcK2D1r8ES2sWkqGSq6a2EoRpDAteWI3/ll3+2C/h/agKkntGzbcv+CZVziWuUeVyr9dg -MEQ2voJVrh0xDPftnDYRBbE1E7RoyVY64pUC/VywvCQz2/9SrBZPEwQftvuZX+0b2eT50Fpdm0Gs -hw7exrxFQXSiiqM+ZSjBYQknqsV6C1rqxUHs/OKFoMx24A6F9f9tWUkFIM3lQvUH1zpgavFbOe2C -GPbnAcJjGTh6ZvyKTURQ/+by0/m41iH5gGYwiYO7B/7yY/JkgEvE0DRcSEkFnJ5sNW9Z8DLk1ifj -0Fvz/PLfoE9iHPnZVKcug2ArDTz0csEbLP6nw0rXzFDeZjJ1W5ZKAI+H4U5c4btr0zxZZFZwdeqO -49NxSThFUj6oOVHJ6vzvrQSDoRWpa2ZyfKOmUMK+OM9Icl7gw4TrzOHn3lwl+dqhcymkfGYq4cBe -NiM0gIpBIu5TOJ9wk8phiuhZ+12frQ7DLYp78siyWPu79CG9/BckGVNPJswpVmOvol0NbbkwvM+w -oKAS9/DF0w6+GWzxWvHOL/KCGRkbrx1ejVVu1oBNZHyAEEkdwWDHcRhhHp/7S6BC7IRDUpzM3Tr8 -CHNMPORzaatgfbmLXsvITxr1+BNW2r+Y0f3A61VPyN9Z6AftL1qR+xOhfnj1cHZ3VE4q626e1IPh -2AgoT1CLIYVUgPWrVp1EHDH5rfP7oHNtM42Md8m4tAK2w8EIJUusjH5VRTOUSu7FZ2OIXJAfz2l4 -J6l9zktFTDPVVvhJXXvBoRCPIflgc/yw7hmPTIZASNdRJixQvbfQ3fgBefJxVY7BaqqcaL2ctZwA -MsLHqtUio/JyWBavdbiIbR2SLFD06+/3AeVRs3p/9DU/9M9L8HHPxFpGspXz4aQmL0BDPeF5PcOd -KITr8Uep5RV2XrV1hCTDKtIgM6cOaNvW99dYSibzn/Y/Ie8O8RkGZJyIoutj1bqMBTrMTdPMaf9F -/cfrXM9EXAZ2vL4jAIRNjsUYHtKBVaDtX6YSd2LVYa5ZRgXSSap9Yt95dhq9ELjc+rIY93uuRzhZ -19WkPlVCLXFA5MKdyE03SRslVEQ71opPJBP9hgpifh49v8iBE6207YXYc/KrCC+nIDNDyXcjEk8i -C8nJydx4E60ZDq17a4x/BQvLHrD7UOPkwboMxCs4hxO3XfZsTcwnrnF+0PK2LqcyJU8lBe3agxWe -j6Lah3lqgkOFjslWpLqVyV7IYjL3/d7UTGbeNhyOllmkTLbxV6YZdrzvZsp4ZCpksNjXlVUD+Uoq -IbVOw0lTbaanaPYzAPdtRwcAvLdVqtewiiXeYNcLcSrhFGZuYZTclhseBfsnxkanGFGmTQXT8EXQ -nc6WXHRBCyVDfExhwoN//jGBNQTTzQ5V07RaqyzqeD931G3584PXyHFK8CbogNKaa9wXF4lXGo/v -HRUgGXIapdJiFFfPERD+r+J7G1gJ1NvJiqbf782GWzFIs8ah3j3X0q4Li1Ot8yjyK6FevCgGiy4B -IB9suRl5wKIfHKfqahsfx1UJbuYcIompwDrqOrf2wMykkDiBOsc4vdq8dn1tpedcMsVTh1XeasOk -bot5NG10l707hwLGjAIgNwOlnfDQGWJKIPczYgKImFQHrPpt1/3s5zWAwufHa6xizbSo8wC0WmFK -zD0eVqqTWUzRDjA0dPmc9xhav+aoGpxvBbQS8UG75S8ZxyVZOc4oUSSfOxfwm8EUqCyBoRLYlnCn -gJGX+4l7XMmXDHONzHepGPhYiqWjVi/3Kj+bNgroi2ANBj3eSkRL9dJuIbEG+IC18+cILsVtemtR -wdUAVmwpsKypIS8osmEdOt3jXrjEgtxg63+Oieup0jYvG6vY2U55LpXcao8whUev/PBoSQpLrMmb -UxizokmVxiNTJmd1MqN8h9qrsR97hdRpPuaN4k4VWo1kNCVY1qgf/Oe3VHcX/LKBny7OrtFHGMzY -V5h+N8cem41uA2GqroBDMtzBskMq9q9Im2W/vTflr9fbaxpkXsZVFAtzO9wP38u0jaIS7Fi0wVyE -K+Cr0AKTgKJmsCq4pqu0kj6BId256VeEEAmfFtINenNgPVd1/Sfz1hx6Kgs/EfzTf1OKSMEK5kUJ -snL/xnfSgtvLLuT4o/A1+48QXrGrt4yjp4cF7peRKzhqHrNPIngLtgfBXoiTV90qJ2sabFZCrOos -cqDv5Qh9Vz/AVx2chQ84dllIglFrAsKCuaVhVpeeY6VP3gZk9XwKMAGZDcKwXp76fCaQmZnl2VWm -99C73wySGIpmK3y8rCNwLEAaWQr664tnhAjkuXUQvBPVHCPrw9s9yktR+oBa839+VL/jJnZG0V/t -M/Jhv1xap+Nj1UvLKFZ0Y8ksdvS/36H1hHV2tgcHEZLkXDcU8sdsuwkHKkJhPkPjvRubwLrwJpgp -RgJtJbQYXelfcvPDWrjRV8LpLKUkGnqdKwjlTt02Cg4O81dqUOq+EMfsvvQzWHnmzHR46TaDveLY -DRcsz+28GAs48FtJr+Joa9OnSdXuMgbu4av7tgvpfaUhhGT57btcQEnFTc7iRpVn0UB9JQA8sq6H -Hq5HJbe3sYKJuVvf9imJajxtSRIZ9B9lanQU/hEA9GkjC2UZeFmKV01w3bGm2OEx/no6xQ8AKpvD -dR0QOMHYjLtW4cROqGETyTxnqL8yMw0hZ7qIXezH3kjeuqrtdZK5sT2pluQ5nsACnHgvdlQPrTsa -qVfAh1aPISnJWYs3uU7uUPHD/8+1D8FVaDC9QfI3fUGLMXDPmY/63rpZcFhBHKXoGRV52gI07Gdf -3aPCjhmGBwC9eC7WN+YUrxT2SvoM6f41j/U9J0wTza8oTizRrepiFjh4rgXeZKHD+7q/T5r5d4ga -UhM5enHe6ETu6cJs+rJhI5tvZakjXR11YnvDj6SZBMmO73+SmsSz03gvOO3RkLgLTo1PxhXet1o7 -zt8bFdBO83ltI8Nt4HLATtQKDeiS6hJ6Mj8umGTZA7TjywOY7IgIZdte1g0sG18QF+H4jM89AKHo -QuNTjdfBA1prYLgcUTjO8FQTc1nZ4v7ZokY2rBHV/amKfYErZr2rhWJvR8X5yDEwSernPTKd3IJd -GRm1TUozYmwfi9qZ5q4SNrL5cZRCuhoS2iFh+tXsV95t1mNcJARGw+7NuFPd7Ow/OIQ0kL98NOaQ -Fhx/VxYn4fkIXSJ7tjLJQX2+kLcfW5YeKJog8gvQBOWgSqeWs3DEhLxOt6gcKm20VBqu2saBzQQ7 -4OZ5Uf1nBRhQ/wFfIUeKxlvV7KCjvbU2LR8be//ffY3SxZAGHKgD5tyaYY6XdYJT42Fqj0FF/VVH -f4zZOyi2DDquKZfvsDippX2wECty+wmDA1v5bVgueFDjZEwBXQOWx3iklRWfDuJbMmVxs0qzUQuP -vMvxJ8rNMoWNEVjPVoprbSkVG7BP83893XZ+NJpL3Cg8ICJ+qa3/MCZIF0WrneewzPoA4Z7yvU3t -6r/Ya+I7jGHcVGw7gGRK3+0P587Q5rQv8L16mfEswRo0F2xS19VMJgPB2pe1dwyc7td09vve9v3E -PatPaqtmtQsTxdIwJqnHqHmatUdMwNNSf+T62VIt6dmg2npMRwvdJFzZYtgMbFM0c/XKUqj2IpBW -RcRqcQz5T3CbtE95zJ2sNV5maVDXSl4cJmKHdptrjAQvax48AAoLTpLA4c8er1AhWIvdObx1srLS -NeuHIxydOvTSF+rCozXEakcVL9J+fex7US9s3R+FoROxTuYGXOrzwf3QIV727xwkFmiELPhGslcA -YsbYXjI+olCcM4XhLrnLiszsy8YJZvkEBbpf5RGoNf7E+CLbjxIsjgFJllHN3Y6u+X0DL7X5sJ+J -6SYw8MPJIkzxrsQSE9SpRW8Hn3W+JHQV0bN6NNrCZknO71agCkGSkLNXjCi31TzQB0aMLCT9pm4Y -I7Pa58zhcwinhw3+vJ18bEQQ9DAP/AyTLzWhZ3q5TOXo6as2ytKj1l3hBBL2+MWSUHF1g0p1vCnI -FGE0plCqFL5loBZxXi6V75Et/U8ID1HQPIHwvBnKg/HBgOodxn5KCYO0yYBlDlnXJVI3+JvX6ISl -P4KzJaT6YxZVf/FtKYyE4rXHIKcW+2qMoTcYbCqvReHTbyySTCjmR6/mGQBpjWeYztOyhmOjs6tR -7LnZARpbOPWlttcYbS9IVepuPt7B1wuI9f8n3CbX3s3S69xIxOf9FxVCm7+T6eXNn+f8E4KG6+rf -bBI9gimP9qfEMC3BfnY68WEDmjQeN2Q4kXojcogJUjUL659X5ookN67/M1c+TXuKIWQNMS1oWPiY -6YWtEUkTqXaiLYgM4aZ30liYJprRGQGYNa3RGvFTS/vu2V6IxGSfzTmchvS7D8FFn/ySOduFI+jC -lRpnFZQ+FnrsHi/m7lhOb75Uz1U4CbIcJgqctDgxXR6oIdY49ObicnCDKVqIePxylpahFcxXHmw4 -EhKjvl6YjNfMbxHJOczdaa97O2lPUgLP2jZ/fg7HPk16HCL9X0tIuE+uqCN0jWCcjXxvB9Pt1xgv -B3rUy82lWdqpc9RVKOJ5u2h1KzI1OZpreP+QdQk68cQdkYVEjodcVM1m9Qv+jBOV+sdsSjR3+T78 -AK3BW/DgBPD26HkHJRMz+qPk7pxTyl61gnm4tt8evA/7BCQj2yc4Qpy3DVYLJBRTX2WUhIKIGIQn -Cx4l/7pdRxqCVBp9TP2s+k/XFm7jUbmC6xMv4/CH3u+m1IOGqOF8I2zbdIBJOM77ABP5JLi75rfo -6G6ZrHE+5zcVmgheyzOEWYfzyYdjwIw6FRovpxebNAAlBFHrekxwf5gBQxvLaPQS4L0iSh4a1/fE -HGQLHilaE+ueDrfY15NUVevLL9EegAgw+xInSB3e7QEvuMy28xjIBhFKQ+hKJoWmN8YFEQbVU3SF -sIuXhQwmFsl+fqWaePe9UIvUV7c5WD+aq8awY5GupXb6IXA6MYf13/SmJr5Ock8moWMsMye+vEOS -sxdqN68Zo2KBZ3B1nJtr2+TbD1zxDVxf6rY1JN/AMJFvOzBVXJsiXAcQuXB65RWnjaUHejQ/rhu+ -z9OIJ/lVfhGJ3irGNYc0WPDZingsojncuKzxMqvUyrzE1E0zR4OCBdRlhGgCRqq3NgE+DGnYZ+52 -z/s8VtsHk0AsRFRrglJX0ICJ18JhoU3ew4CFlK3YG36FXOwIKnYdJUNwC1K3jBzx4zP+FrsAMUNP -HgIm7LFfFtFoumOSiT/JEZBEBOS2XpabCLFWKkCsPFF2LfOgv+N91eYUKAKdEV/nPUy4kE3pjhFt -KU/or2vcQjA0+a/95dlm65PmSVn7J5ExYbg5z7K1MeOTkdwxCPbwHT0nVYMVtpsJG4G+V+BI5lz+ -866SomilbuEowcdjGsbXsT762UzccHIzeH7undMnkcVBWsugl/NOf6ZyqTGu3GKZ3SIF9Rf3GyN/ -4YDMmt5eaJgx5i42cJjvSqyImdVR8hOwwRkfsUUzvCsVFwI6Di+b80nCbzL9d8bkmTEyMVqK9Lc+ -MPR98e+Wjs3aslny2RJmyizek8UsYpecpjMRF38Dhx7JjCD+zgOyg8RhdbHmQXiwzfipp2lHCLGg -nkBbk72q0bLFEMcBVpCex8CsYAtq9pQQy+JiYvy/OGQs8/OGmquH6nmINJbcrmDs/kPbqSe1vNWr -GSFAuRpD5UBpBvCe4VSIMRIThLu1L+kNQkEAnFd3SJL7y0l1n0sW91OyRVRWdzrwV4D6LS2Zk4gQ -4IAjUO8Zt819ow9iBuaZnpZdikIlnd9yxYbH4M512KumWqadrMJ8VMqyZLN/VJ5+zN6F6/mzZe7w -Y0MSVI4bVpkgwOa6bb6kgE21EbBq0RQmRv64PTfDuvBhtdyBcFcS8ORmKnrDPvlEv8/1MESQo4Dw -fHfActbD7VfWYFj7MvbYJEwnORgZXdwrFNNWYT+n6VzICim9zRP9AsmPZvQDjQ5kpgGbgCDNMMsL -UlQQaQZUmJOUtt5Qo1UEB39zazVp2unx20jBHMOz4QgYS9769w8fuv+PgKs+YeImQ/AJrJ2V7DSi -InbxUmrFVqhIwo2PxUiT2qCeM/zjGzsF0XxN7iKv59YQftoBpv/uOKPvyNjNX4pUjpBAUJptKl3l -iVLAH6szbiTW+qGqyqDmtowaNrfQMe2fMl69srutu3QO5zRIUkXlr+psbvc4kxVR6jmYJeNB6ktT -aXIfn8GXfyIDL/fwvRd6wF2cUECDFL9AnexmzCva7b+k2/pkRcnBlLMpaawt5p3ypNR9cA+pINQW -wtKPo2/yUgsvmQ729EVY5ZQXSRIyhdAi7G8ed+ZdmG+qLN+EoajklDn7iyYo1yxrb7kbQNK4bagA -caayZfLyoM5utTdNUsySIB2yw+MexaDH7zXSdAlJwFWG97Y5Lsm9TtcGQSq4IKB/4IqCg02ALqVB -NIRCodNv2YOgpms6+oC2GyIWSZK+bGUKOq0MKxuI/eDPcstX7dcY0OpeLhLyvxwBmwlWF2vvoFMe -qQILtzU/BOILpl7NyC7wNVt9B4Z69uTmCPw/piZP3fIdDp4Hd5NECFffaiQvSQF1I+gA3i0Sy7+3 -nEvrTukdqQKSY27t7MhQCaNKyznHq6u8RuNN6isFgUjlfQGbubDkLca+e+9AE+lhqzMpionUJgiO -B+iayWP8+qO7CNC8kAa/VUzHc8enbeNCKg6xWLaOCR2rxk+g2pUjjsbE+CTnxEXQWnGafAIWN8+y -dATuOYe3lmW/68ttq3M9Km3qe7sjCvqXOyKakt19GSh8px31601QQSVAM6TN1a9/ZouX4luvW9/V -OJWPXHocLEotFIckkRx5BqAVZAwYwstxJU7l4C7sV8qogOz4OUolU0NYWd1krB2YsE11ib+V/TH3 -38GipCphnAqkdu9L8VYX7bIOZBrLM5kZHAYOI4dW4yxNYvBGWFbvprzIecbtNOr5eyKoMpfhEFXN -QpsoEHlm9LbvRUV8fB6JOrteyoch29RHlH+IDFluTDbSbw4h5EGpzwnPrluhBTdC+tnn/nZXg1XT -cpW73LM2b+TNSxvzjgR3IyWeuQTdAwTw6E1dSjQXHOBRVMSj2NdyAv+ghlQ3LOLlgu2rNO/7Q130 -4nc1hW0oUtnqng4XQ8EbxdaWtt4Yhs9+I1/aRtFO1Yo+yaV1DHK0SgwbFB8N5XqsxayWvNtn18VK -jJ7ZLca/IVmEIvgTReSE030yGfcsuL++zg1pNYnoheFUIhJvqW2oZUyOm+aUzB/3SF9zZyHfCJoC -RNXBSCCONr2ZyGDpk4PjZkcn/KkBw1uPqngPpS3NZULH234TWyXneF+V/Q2pzCYCAnPMirZgPZsb -zs81KdAQS5QblPnHUZ1i+oytyf6xVGto95+z0rpuEetyGOiyVn50eqNEEhCXU4cyTHzmEKT4lWWk -aVCR+2t68L9kYmJuklTiJe0U0ZS4tF+NAReKCzonNHDugBnfGVEeQ3alOHKX0d5uPVmKBzgf68rA -GfI6iRI9F+uWQffy+trNKNjcmkrTWU09HnjYM7A4CzE4cUKRbhZz2/PqdQ3iE+O331bi7RjpKg6h -OpIc9ufKYcaw4PzU8KY0Mmdph6DeyFtLFqM0qMUWNFAz91L4VIgERDn7d2WPc0t+68eaT0qTUaYm -eQr0B5Lw4vR5DMCSRT4komYbDpFWM0L0Y6jgK7OO35/VlBzQSKM/eFfM/bMCspFb8vtb2ppVl9ZG -ivq7IqVDIeahDMkv7FYPR7ui4/wX0ZhEPQKgHRXMMonagVi5W7t7EUNvReLL/WkjDUyeqUzAx4xn -5kGzlRtncss7LBqh0FINSRDqF7rmm9av/5IeRGL2YVk9HMMamE9Q/eDpF3YugiC65N2cKa7Nd2A+ -RF+EfKqaj15Xe8X9pLz2TlJM2ONHQn8WtIGeiEwWmTQNyJf85YLoFlLFlW9O42juyasMT4qzlP3z -wRGRLNCv2NKLcSJ4/hyKra6v4RAM0zzauk/TCaiWvDJpS03BOQrxa9hW/qYjHJA7KlTDGu1BxvnS -F3xuAqoceGcu5QPhH9wJJe5Y5sbtpOA6IuujYPFucFll3OWTJyn9iIUcQ0iKmpDNLw5jW5XvHnO5 -NmDpasEHG6ICFMgaec5xIulCi4bb03UtdgMybdgsuIDI5T1xhFBc9n5wAF9v1kIoFacHJDp9xBQy -+yrenTe1UTVs7WPFlFtlq0Kqsu50dQknjmhPGKujoCa2O/kmW8zM4pzhJeEhAoEq2r9O9+jPjfW6 -KT7s15ug2brVsd/uIfM9X69K4e36teIRAVNKD6xaXyjaW+AsEpShHRCd12I+z8mfG/26+5pYIH4A -7pffcXRNVYBi2YsRObNN9b9SzmZCjlrKqQXtndBhPpOpDYTwGhyFg3DYZKYrkbtTOGrEmzuWMCac -z7qNkmYvXrrxT27WY53GSBYgkG36gzjOxppRGJJSSNxnyZ+C6vVaWKuSDczDu9ZMQOwSgQk6H+jS -j/B9HvKvj3FXk8jNsVRVr0jmyD1tEV2sBUq9Z6W6X5mA6AQpzmvEUwd7IcaA7cvVlfzJpmgwb1+q -Q95ZDJ2rtdZnv4Iu15lryokCUbxWRt1FN4B3OzuhpPb4afJuh1R4LruXZG1pa+wDhLEVrhniRCtF -bCvOge9ZL9imZDcvK+roqe1qTUlA1sHmaLzjSsYq98ny4OfXjuAqQpFZs2O73tIFndC9xAD/sbVF -vUo2/4dWHpo+2zx476sanyccLXqWGfr7I29s2MxVcXgHpuSlBskK466v5aer9qyV8EXk2i4ACutW -pttNVbGk/4C/0dLP9gJCRowWsyozNJN4j0xAu4dOxYqf5Xz+P1+2yWPrmQuFCnL8mkgyZCEURMLC -aMlT3dUeEBrybzmynZFU0dyKuHXZoV8usNZcUjHoFJUgx20M4J5okQQV70QK5EbjeRnjOLoefLv/ -jD6eETWj3O+gkur02oQVZppBZEyL0CXbaZRINL/RSG+d0AIvkxNJcr8NtvOb5++7YVs6pfo2Alx1 -m4OcI92JMumB9kJHAdiJ79NzZ7Le3a6zVJpGZRm4IA7/ZUsCiqw5P8EOGjx1dFNgbt9C9nzjfWAB -2zpGKnfMC8lfrIZ3FL22zBBzefnOCIel5UWlr3qaBOZ0clohtkUZ/3Xgq2YDVH9vi7r2z4QK1Duk -mOwyZuGExYhpOKAXMCZKRJJOaf2pesBL/oOG9pRPMPV+E27C56o9juQn6wkOgaeZq+YKgHIkmDuJ -qtepWfuUbL180Itsg7Y362pCmvABze+VdQHZVURZv/hrkeF9MR8aM/Zh7/aPj6AyRLai7YMp0cqM -xGZKdYiNuzAP1mb0bRb6XTxmJXgJUX2c3j0qoXOolaqVowwOm7PgEgdU20MCGCZW/md/ZPPEwa4C -0I+UA2kp4ExKBlq3200YMNDbQ7kye8Jt2MWOTe2hdWoISmgHohLnqPQ1grwJsyV+O9MDs4mRBgnp -HFC/jUU6NgDbKnC53tyiM7r8MN8TXoPHK8axu/1+dvQh5DfCaaryGMGq6Vq7zLEbQ4wxDElcptjd -WpPvW2xeM7XkLqhP1y7xrCuYZpaGDLdbYAcVVTUckr6c385HRDAsegry4BhFMho/NVg/KaP4oxdG -gXOdOrBSzCdGZEPXVzNsoCS2MuQdq/qVS4oLOm7lHl45RL0+pOEA6nk1DpHVPtWvfJdARM+EhpWF -jY7aNrGxQOyxfVLsM+VNQ3hhEzN2VzSW9d9YdV4z5Fmj6JpeRj0o1Im+BKsfCEla2G7agX806ex1 -//Tnb57+68S3qic/VaJQfH5nVBgYh2qMk5LyE9gK7uhChKYebCiQJdfmG/EUmbvcctwQ88dH6RUy -Xe9NGwWAmW8ayYnoaU3TJmc5I3OgFPKny//mny8oqYmt8LGjs7Da5DN5UfOlpfOZzA9ndkUurDJF -9BprFAFINieqv+rFIP2UNOi5MFWs1Bv2mogHlxsbGzoC4b3EJGgUeW0TpjQRIHZKNpeAUn9zQtnM -4Bmnd+TzwT8hnpdBpjGkF4ZZsUkwTXzf4O2U+Fkvzw3NjeVZf72xt0LBE1+Ft+XuSkdvk2cP5EYV -m74dJfwVwV6O92SYPKGoDNI5suTBpwZ1x153+dEdElfQndRvuTRsWGFRtRmtupgm9AZY0sHh+HsO -azd82tyvEN2I/OyAQgx2P9dyhYCVzITOsmcvwTqHgTRvWt47pTgGSa35qBNMvvAfF0YeSHEEU73Y -pnKBWtvjZqUhT1u34WhUGKYWIOJH4Kc39X07w43I1n/xTTf1dnk6TPim9js76+uAlvG/Wj9zzq6A -wr5EEvQyRMkDZ+5TdAczpkH/cFSdZ8zxEv2Mt5uEZYoW4xhXnVOA6fhBkf69YuTiiOauhFmlDcMf -UThEm6PttqnIHGTtRPFOsRvPelpSyyeSMK96IwtYKBLH4ghxu1qxISyjBoJL9TsuylpeGY6fn8YS -rNn5CG8beRJEv3tP6mTaz9uJAk6b9lbAHwJHg5ZmQu6OLCNd8490yA/S9JvZnWYCpM43dtMwrK1x -pkCu4S6f9Xk2qqXJfn7+XdobquDDRBUhlW8VjPGQdby3tV0PH721gWCTObO+xGvhTfHyHrA5KC2t -1e6YktEOzUw26Q11l4INsG3UWh1y0gkoK5hu/gH9NUPXwrU4OTX7Jq7uYNMMMkIsUy12wy+F0U6+ -4BeVze82jeHOZPyr6xtCebi/kuBZECE8rYZY0yqnTZ+POvq2dYXi0JYk+SPEw/i4fT11VPt22Hii -pqUJS78Ms0AnfZwwiJoe5+qtlMMUdtrL4BLrv/3MvvNC2nWxFU0I0AfMs63aPPWwy5mxklS9+4RL -hfjVc4iJy2K17IOyACN3iq40JiLFel/oLHK2jwNRumgeHMvD59l6fpFKTBC1RDRdy1iowDTdmed5 -NhXFKA5h1Swh23T1LSyUhzn5HzXjyWzNJYSRPzTBtl7bEBBFoDIZ4mtsSq0spFQH1sqIo962DN3Z -rsznmjeW5qi5OA+t5w9x4TghUEXCALFmmsefXoiHbg9DMt4nZdfwzTwGpc67msgSTpJyuy6QFvOn -rOCD51o6QpVzWE2rP8jOl721MLYHDAEPnvsxFRVelp+6HVBNVfst1rdRQ2cJBmlgGaqf6NuHBgp1 -vGwM9FGgR6VtGaLswKVlHqmAlaZmNbuMOb1fK7pa3ys/3vBTh3ANqfqdXdZHjVfY6n9ajQ3fj7yT -Aosk+KmIW/SN1CzcI7hGvRV99Ze4GM2VDKBRGz6+KWs/B3G1FZ4x/UH+M9Zw0eOkQjk2ynRvMyJn -epv8Bg6VOGMdTXviwwzp9u24llBfCwP+AbFo/s0xpF1CIpMh9BVwJ1Jbh7BC+y0x/62EmtmWw8LT -vsW66oC75Xv23aNJQEY1wOAHrwGai/MGO6blyZfhv8qn6YS3APKyqcn5KsGRKJ5/AF+gV6wOD43l -h7DvvW5uVChaF+BqhlW2CbxIA910Bp74X7ISuPdfnXAEXZLxfHZ9tAGBCjVwuGgNoBwoHjEa7oPo -MayvluOwb2LlBVvyas4+BzclB74SXkg9gPx4W91upTAaFUBqoWJvW/GhpwDK12xDG519e7wCQnbT -9oVtyuN88SLnYgkEqf4C2LabJUMUoZyrybcLI0jhQk1NLQq5qSX8bcqYRUlKs4UU2+sMxS+EnRW7 -n8hNlujKVIAeEM4I3aBvmQ8+0tTWMbUtxiI2N0zppzpUXteinpuskX3hN9Gj2/lUF90oWomZ9XAg -V7HuMTUeYfQPLW3IsEiLXTZNkfGdWfyEbUCRRxDvgtFXguu01sXK+557kN0tSgwduOOE7hszfn25 -DtSXuqxChWJNRVa3bGeQvqH96FZsNHNlXSBUSq8C6emnEFBXQJ9GZRdJTEhPtNXWI2AhYfImIt73 -Omt/aAWew2uc0EtnN90Ae48I7ZxDFBhXV/DX1ZVt6oL4LT9H23LAy4U4Wk8ZgGnrfCwToJ9HApzE -Y775aJrws/xCDcpyPQuYUxW6kRDFoBf3He+R55km35Og3n95EelDdJV7O9ztw54yUKGp8xHD8hqd -rmM+SzMgz+r4vB2jje5n6Tf/Th+jmZoz888/frIRNWrGbjkLWArXOfjf4lbbirYiX1Q17ektbQ3V -JlGwkYbvKQPEzXLa2pq0YKV7buhwUmFhdPy0b2jaxvkPG5XKMODsHkmOkVbfyTJ3t21OtM9/0JV+ -K9EnusJXZ6TyezOgDCXw24+cD2GxHuiWaSzRJBeDjFJFE5vM3xGr9XYs8Vp1D9csm3gl7WxT70ry -NGQa7IwwWctgNM5XnZLOChjK4G3K1JzcYrNnNkhuRoTETbKIQwPrhymnZWCPIKO6161vZ1lHv2O5 -pJR67ACMZfiPVeZuK06t3TtQ26KTvNy72mUpi3QywwhrromkPUUfrxvb1YzfGlgOlP9oFRn+xAPt -u+tkkKMRuri+Lfri+3+ZYG0vCxK2cw2VOO21kLrll64aBfC7fs3cLm7FE/dASQKuSJUy/Tb1H3HY -cewA8LVqs4rZ1ku00XjFNtKf6yN+hEhIPn6dZ5zgYy8aSBb8m5pkYc4h0K1YAO+45WYLNq+b8cEK -9gq4wMmTDLSzLf0B8CAyEoA6y3fnWblROibTX+huJghxYadc5TL91RH/bQsrL3hZqk5KY2gRZVhS -4HstCx+NSLPRmQgttTxKey8NhcOgmRUXNNLo3GjnJusjHhzxEr3n1pECDktCfO4typ6Cu2PGsq6t -A/0Jy5XUCKLshbcTpl9LxnhlG9c5a02nao7txkwltEvYk8qJ5GOZyhCeAE33F3aUY4MFJx47IU+N -mQuclxfXcUHhFsTfk9iP/Ol4POaZTxgCNKReGTozyi2mufqBU3R1ENBWk5HIgHnOlB2XwxeJArLg -dye7bpAL77p+O5bf96qaK3LStqn1mLfHK+jZUFgFrEW7o9UjqchA3wooXdGKrQSNy7z2ueUgB0Mg -/HIvVl94cD/G2FzosEmtYKFdo6k+j1wcXiIJriCyiD2a1/TZnncisJ3SFD/WUp5+bYYQThmVEvIY -Tq8uHvPNUY4RojbAzSO2EXIzWGjlPdt79AAtZWNn50rlQf8BLUCHyRRYVhh/tl4n9Wbm7gzq51A9 -A6T4iU1LOuUmkabO2u36V6gVwcprttoWsrdj4/vWPfyri02BOoFCNtZ1yjX+Olcn11RzMbG/TPAD -2aR1R7p7LUpD6uOKuQEP7hfRzINojj6KmXJ0YvrXzJzExpSVHC5UjKu68GMknU9FXkDoKqNSEIyX -QBcHm3FL/suq70d+Q2TRmxcFnUm9SBuD5l2YmeVsgBgTh0/jUJmN4M8aR9aj7NAUoKE/S8xdgJ23 -qbLoBT2xz8LJNuwbDUZMpvjCKYpWF8n25BEjVR3H3GveuqLtIMCxR8jUVG4lNYyvQIuB6ckVreMv -wzYLowkqnAPUF6DY+AecJFw+REe5fisuF2dHXIsqXW7pBdQZEXVVNgN+SL6ACvUH7IBdwNWJyw1j -epXvOfL0h15NP4RXac2VJ4ntjX0/adlF94xH9R3CvFlnUVStpDnz/+n6u81BkDoW454C4VXj1vNl -8nIbnQbMmGekhj45mYgN9CzQMoO6/RRvCe6ci4IvqgA+foATef01k1j+eF7/vUPnxWqd076w0WI0 -LgwmCOfpbX8OAUnZ7bmTma0AO5+K2gdF2kWQZ+eqGDeOkXWQFR8NafhOZE4/adtqABVUa2SVgWPk -SOhTFsumyFvIZ4hqwe+XQ4Nraw0FKaIqH1/+PwAkKEFzfYJT6RUbTnPGRnkeyp42JSOLKrNwvpqy -IYF7AAYZtTT2IfUadL116HzS1qDNK4X3K+S0daMPCpo7NZHaOrnhqycQD0eLFxyPFmNGebaC7dKY -K4JT1IYNQMwf83FlMoV0XG/VHM4ifR4ECfdJKS+N3XwetOywwByFSOKBN/YKCFzR5kitRb+AxDFU -/6RTwDA7IzU/qpLPt1pfKrQKdpOKlOgj/5OWk+SUu1HcpkoH+3g5eEkuf8IwXivCsTSFsmqRVqss -8oiMwaHkLG2CPXjONjdPqet1AN0XZbK0dRQaR9RXXZskf3LtyJzjWutVPKd71wNUIDi0jizNYpum -zMMGvldLvjSkKz676ZZ90pNBbg/3fgoEm2AApRfIz5zMqAfwnXS+yiwGhxQ1JmtZpf32400OTxKL -zyGj5f/OIwIfHVWqSIStL+R/l9RS4aUuV87ry2BHXNyfViHRaTNyB/H9wFTrj5Xi/FMzVdhGgbLs -bGJ0/FfEh28Mp3xioqtXVbMswqJ+4R7TMG9lqy0Z1EJgtBuowb6vFuxsmxevIootufFvwtJc2Gka -ycGcD+Jjk7ABurH92k/xTraI4UNry6mVaAx062Kybg40FwpS2eSPi1P+HziTVz4enDQ4ceIbCnho -qn7K7pH+N9ftreMvNe5WeKJye7xDvHZJDn39oy9xZd0feBJoWiJqRUTMbTN6IQ/9xuZsbL0nfkJH -B2e7BBu/Z+EVbuFr/q4zSnOQGB2jrUpterMZtPMxd9Os+HcVXVBqxKN/elnTJzspfYFZxuKDrImX -CuOjBhlgaF5wQyFEvj7WzEwGsIfI7RZHL0g9DoaDS5wU7uk1eDcYciqHNj99Ro0tNmmTQUtJTc8I -NLh9VvGSr4KrhYRnt7DW2vOetxvhbr4HwOvJvd/KpaLcCDOo7gf47WgTEKva++5mylgojy7tMxzH -UAnC9NynXP16FCD5FBh+gw6GoAUXJ192BUG6piWs1FSQMKLDzzCTEcmjVZl9mP47t9aDziIP32mI -wDLMu3HhXjNd2JyEJQ+Mx5GY/Mu0xB0P7XRmgRHs9lg0y2UiYIrFFHS7dyV+DYkiBXacGKsuTIkR -BD1k5o1ysvPze3Pm0dlZlR3RIs+1dolCnpV5HB/4qfbtxu9fpmZgkRCSGr5GuEePnLvAIie3ecER -wwj29M/LFdFwHKkqS9lg+TkE3f+K3IbYBKCcYHqOzAd3w/7sVi9G/1+ns1MmYtWikcxKf2y0Ttfj -vESv3zB+IJnpwTnJ8xEmGTUom6CPhBVau6iojVlQKnYHItVPf2sN8CouWyW4eTFuE4r9cA469Rn1 -aHaRD57A7OJg66nKKhF3y0n8cJwEtK0X8drgKhJgIEadCXRIP9KtUvXnQe9WASxHORGCzY4/RZt5 -Ojd8m5bzZ2xMacny4wH579ziiFWOh0rq9UDUzUVNrxu6pFE4uaNW1Bm8KFSWrIgcsWQF0hNvLjke -6ZWvWIB7ALbJKZprgTcfiJzMSJMXhHbKB+2fYdL2XMkrXEKeVDDJlmFjcCzJFZh+soDWy0uveOAh -USN4LX+jaZmm6Q5jG+v6MTp+vg/4AEpiUwMLmwvx9nqD44sPyYbNTQSTwMktohIOkaEWOb3CEv/U -RchjQmdA4NLxo59qVOwgSbj3sc8WncejH5sueN14iP0RSApiIvmy3hyGBxteIm/d5XJIaTzmGqAb -NPmTcXd67t9Ka80oS3iD3QPsLTIMKFG6OEbmkkkS3oYcSSqGpz2dWNziKDruD5G3SCg+bFH75xOW -vYUl03oWvzByodcr2NYe4Yg9K1haak3MMcnIQZ36GhBYYhcPNDUSKKXti/bJVXXm/tOKCU5nflpL -KKAPm8r1q4eDrxeA6CXsSkM3sFm2OX9GhqssBGV8hvH9naTUjlsouVU7MscsA0Zf4GxRWrNT0Q10 -Hk8/WGzQQAdDITfiCOSdn+vzA3c7C9L8GvMia/oXaLYF5jRaSIaD2VkTqA/D8lwgkMyjG36RIqKO -MZCnKicH09b4j5ziNQdd0AbgFFb+BG9k34CJekhig8zXj/tQa7XgcYLgCAT990KahhExMMOYRl48 -x5JgsS0elH59lv4fcvhPUqc/uJinQpAvbne3IYU52f53vXb7t/OObK7eQgB7It4dSlTUxHCVhB+S -3nubQy3+SMZFoC/hT9j+9A/Tk2Z9fyoCGMRTJBpihoHSj9rWM3bfREZ23rg0eeO/V/4dWjnGHzYE -gDX9ARELzUSIyYzaxW86Xi5VkmSOrjB/Lvp60jzbX50nHpXX//qIKHa+vRM20TFv/cSk0iE24p7H -m1QoBJdMNCxEtB7bKdhPsQaF7/f0SJn0QdHCoXIfx8FYWdV/V8Rex5QgrP2+E/ODcU0aED2Kbcdb -NT0FbPrIlz6JTv1weeHC66owPEeaq4Xgsp9icLNBK9f+34cLn5c64yKSU2mbgLnj9ZxHPkufaQyC -UeZ1OCB8KuOyyl+jw3lHNh3481curNZ9Ilg2vViv1aeHV5TyAmTZJsVqZ4LGmGgCWfvG8AMPs9EK -CYXcnGaWy7kFUwlp4al971rj9+EuDIMm2kKWTEtSn1DHalGbNizqjkWTyVN34j4a2dxoLoJiss9O -jvvXJ7fD7Gn12kfAQPE97aV5b2I3BpodTwKw1wtShKO2GvCEf+irZuB15zlo6MPAChHwqhHQvi7a -CG0zqWIi0KrUVK5SExwsownRiU4y6+fB9V/gtDr8xs8tJF0VuldF6zyszIfrJO5nEh9Vg0jCqluu -2tRMmLnyupEpefxJoyhQYocIiNPLNLq5HHobBzZXWb4QaozVqf/ABY8QOab2hlViQIkKGoe4irQg -TUbZJbJ+4OHYRhwUaXRDcRU0WQX6OzHEBcvxHOIgi/qNICK2Pf3+Md7ezUpSgAC8EDQ3P4/R9X4H -UoBfC/Th8IJN0WAvM3TbDQtz08zBCrti5HA0gALNpD6ORtEALBVDENbnuTLHfSQyM3DuG+eSwkb7 -QSpWnO5oW6Mty6MCipoET86/kDIkUlDWFey+i5n5ghoR4jWIQpOWxxjsvRIyYimOkhx8+yjzQ1Bj -8TTPsRIm5+PaU4P9umHq3FCMX4xTwPYmqwpG8xHAtmTX0aO5IOW9zNMmpGx9/7fDIqMrP+Bv0TpJ -xIx0tziyah6C5qaL8qksJ/UQpBv30vgf35dAmOgF494VOp+VCmwGGSrlbliQ7EN/0qVAgLsx51W+ -argKtaaCwjauIMtTpak26GXFOgX4/FW2HAV45h5Seg/wnuaRFchJ48puhrP+vNlRmSakZW4vb5kG -zeo4X4KkX36yTYrCiGYwXGRkuzCI6/Tb7L1Jv5xjGv/Mz0r3v+3tkSifeLLpd23VtN1EC/qFxF5D -QAqjqV0dmSFMmSpqPWabk+fP4Z2FeiOW1IMVv7xQB/tSx48Vl/mCFlpSiGYprhTpDgHFhhUfKbsM -7y3lp1Swhl/dKwDrf2PLi2BpEZ0x0on8Zwx6cyHn8lQUD5d0kmlXUib+jO82TyqN7d8s1Zds910F -vW8rgtn0dHxN5Cq5ZtwgdJRd6S8TuUEza6GcTI5pShlWBAW/TWINcKbayeeoDUfWzL7rtkthnFcm -3XDNBGF0+niXPs2l6IKbuVR8saK5lMb8pMoRwB9xeauecW3FmnQ6JbZGPtIsnvZ655whK+oiQIcs -66etjYIkIyT2DQxjyUag6yTLbB1q0Cwc+cyLePR2vz+LDwajUwgCcf4QZk7dgyxw3GHYxW+D3hPI -Vm+4Bc3asr7ENSyIP+otNoC1HuzI05OmQmdwq6uoTuklFXnW72kFwftPAiu26wolES9QSFTwtNKA -zDe+RNTt6uMaxBUBJqzKIhiJwHYdjcXw9mTlQ928bH59lC92I8Di0F+S8K8nnDjb4czzDWUbysgn -9dwmOjFomoOP92+DikOA3KXr5Db99G61yIOLh+YBH2J8Ozxmw+aPlv20w6Rj7Re0jOQU6d7N7mxd -y+SeEzuKseszw8zCD3Oh6K0Ct0ioPMdbM3G1qMBouLSMWtXXMGXVfyRGIRrd11xKM8Dbewf/KHG9 -tt02DbSpPD+y0jqdM/qK9hlCZLzGlou5nxgbuLjlrFjtEZZfv0N5NNh++zfl21tJfqLs/tpDkpBx -rVW0O1mkaSNv5FLEmkj0uDvNAK1TTAc+g0VKHsGDRfD1Ixrqv6fSuK1NNMq766W13wfndBuEy4vX -voJmUatfbf8pnbtxSdEAkcHYJ8AGboPSMRNnmt0LtdWTeFSSMXSe2rbMcnkJPE45UAoLOWPxOAoG -i6VYshHOSl7ZCKpIW3IPoc8jPXt17YTgRHOhZ4F+GzHqM5rNTPiPc+a0bOiI7rB9SaV4dfd4N0Nc -4ncmFFRQrKAi2DmG/pL06EMOrFIjBT6UuSLaIf6XebsVSYpqN7KHaGRkCbcY3JaVW/jrBKCJH8Rb -FDC4EV1/HLy5ITZl6C0zuW6EM+NaBaC8WxwCYlQpcsijEZ5vJVjFMKfyVXiQELwTd2iRGQ1Vu260 -zisYMJoMwezhBQxVoiyYuuz9DX3Cd7WkiFYNGNeaTLbe8RqavHS66exb2EgZlwDfvEhyPxfox7DA -KbHMSr2MELftfOyhjsEMhjQjxlUGxn+ycKDV8E3yPvcPKJA0oWspRrWsJTQwhxaiBrJwJWpmosXa -Gj0T/sB0CtJTPew7xVuU7PON/xyZvDZjZFcADCp+hCKQV6ZS8Z353kDKFtiVlH1oNNH3NMnNT2Wy -SKD+76TwKAxmrMOXFyF8ZeMh08mKYhQRAv7uxyg5NcJpY6Z/EQBgQZ6KNjfQn86WERCAmr31NvIj -mgIowknqYmg+XoM61uuLOqAUPLJcD2F4Z4JFpXA6lXonWl3ttiw9k3iSPWL9VTN06Oi9+GBWdb/J -Poc3SZOXGGuBstZ9wyhF3KnncjaN9PltL5uAiCk1UhEiNyPkV0yqIzd1tOavpqtAq5Wmt986i1xX -rk+SW8FhyEz3mgvZUFhd04VOFmtEf6/rvyrhcLAv6mMK+Ca1Gdsn3WvGeiQpzVGZm9PQheREZfQ/ -Awz1XbyXgwFz3HIivkvw+1uOitcDKvWMu4wN4pwXUh9gspuQFG81150ZV9oCvwA4yvU+FKfDUcoh -PcEl8tFqv5mGOuPWI6KPiFBG5A10fITzAFOB0WOFggH5o29XcUmuebqu/+6VirguRkYFBu+YMBjG -ijMJTd+NF3UwhOCa8bng1P2NYGXAaR9GzJfS3WpUyHyOpHWVNcjGY1r8Q1riSg+5FjTuga+gdtx0 -3aEGcpmPbN7o/Gn7gYK1nJFt6AfIt3mOmapFhuvthZCTR51H4tyiO1xlhxs8OK/Gj400I/6L8ibb -vg+2MnUSMsze+M3Z9XGYQnw6GkeqOXHnRzo/8R/v7SQ1C53MxA/My/P7YfjK5Yl/DhLRxyE65eIN -uy0NbpUGGPCc/SIwY9qh1RPC35lvGe/G+g3BYRfsCjwtXTgCJ1AcyNcB+jViEKBoUDd9ZM1P0BwM -pASOPXQihDvxkgr0Tge8EIBUWyb3SYIItsq9TKoRljMyCqdD3ZyZr2cmVOsOjuoclHg4XiCs7Mfx -rv/JFvxiTN2H3A23IfW2QLz3mQ2WJ7dgZ4NWZNFSZdkbtNbIXZdSwMzGzheNXI6GXnYv8E4VD2SK -i4HoOEzEFsVi6t/I6KLoT3hXMsK08EYZpPQm/hIbBzoMbEmqasVWIRPoyzo6Bqa/DQoR96FPBSy0 -FGj9/AxbhdnsXl3uJQZLC9v5I04mjXJ8Ojh/4ZYCN+yUcA3YT1nxOM4+FIQd8Xi4uYmyzXEthT4I -jnveq0i9zt8+YUhJtM4HmTV01JxWRyEi6vWQHhetg+oozGWV8/A3WhfgMUSvRJofWfVabFUEhaIl -imgRJwF3zNtv3iQX2fW/JdFE/3j8pN9eYUZc3j9xTZiJjt63SGy7rhayWtKdylV/5qdAGJR46hxX -oi0ctjo1EO7vKSOgt/ImJNJyI1YH7ApmJMJU1mX0f1d0YccyWhL7ytL/vy12mel0KE/DvcSIO6Dt -PJ9l4PmV2ED2zu8wA5cE/rJoXHV7MtUSzxHKQMx+nqiZHya4OOAHNGzXgVl3cCTHxEKQGxuZ4CeK -lkfXOt2Ww15BITr/3kzOsYlxsQv1DGg1PycUs63xQtTK/yNM9UqbGr0sFBIzc3EcG2WgkP7eHFvJ -cJCx49fPphhdQFibmKCPQBeev2an5Cs2+As2pETpwPPsmiGesFI0u+zUIiAuGC1Ra3lr9ZT7BAil -nrz8T2X9N4GvdGz4mOuRqo541mx1vsjoK+tFkX0BheyYXUGWNU2AhrCFdSsM6LGdp0Kb0YldaJqv -5V3wSN8wc/CN519rNS0uyFkdatGTt25QizM6jKS0+2AbDOyqRGr5FT6hdcywQ6WJ/LqluHLjNTQK -P/0K9FnT6R1GtIO5B5klxkU93Z+HQz3dfmZNS1JDSmIWwVa++DGqW/D0R7EeBwP9iZdJ1DgwrJ6C -rKPhxOucrOYAExObY6JcsQINi+K4VTzbpVsG1ckRUbnbS0sB7VChX240aFv+QBv6NFzguW4xL6xG -AbpJXhFWGoFopFcJA7Sxn/WTB5P9assXVcsvNrX3K4GK1brfm1W4M4v8h9WDG4octhzQjWWNChtS -/mZ7cHYsSDt5uiooCfgwoeKhFJf2Xp1xn8atQGMW+JrYx7LLHhwNYPZK0RltPr4uwm0i8nXmjK4n -8Gd8LCa1riH7R4CVECUwV9x/vgOKoKI2ij/GnU2DqQJnbQlGGIQF1IN8lzcXpTs2VL3fRJSo7hW/ -MwnmCfhXKQQYrssADODJ/Xmz4Z5qojOa/Izy9I5ygfgYWSEx0xQOmPT/CfS+UEWvUHhu84X0BJ0+ -gXy4baCfPW8wbS3ubXZL8SkApD/LRA71u1pubaDGp/xEqzutNWv8ey/vA1H57rzlECrkuHIlzwt6 -aSl+8/pBFs/6yKXYCcMkmILB+caz2ehBVPpkjDhtlGA8UIUg2JSAO+pM6YaWUPrOqjXcQxM0qEiO -dfLTataebKPXixlhYr2QkTMXMh0fvCOj62yxNLkrlg0XM8eV+gyUee6qzmxhpcAFmwG/bdnGFNyv -ljX6Xzbtdgr6f6XLPyqx6RqNn7UkUMRFMAVHTXmi9vJ6oCLxFDTay+Jx9nepXIeDrgUpXWsatviw -jjMINdmFfG9z/t41TtU8BPt4CGrqJeNF89yk8vnSNiPrtcak1tr0tQD2Q1FLo1nn3r+/izQQciHt -Em1yGw89cSiBd2zC+/jFhYcY6jcfQ7c0dURwPex0JgmoPNoUdzu/Fhix6o2pKxBjCBgrf84XSMvx -EKeIL0OeXbKuuxRSqld7UMbZRROLJYetxyeagBKzbWL/VSyLDXu8ASgFJb576wy/n6nD+RfkGqD+ -2EBhDV6ns3E4NifWLEkfwQ6wJRvl0v2caA3e+3v94+E0oVyPI3gtxMdUTGcVizG7S0nZnB6SU7hU -OY9K7LwYpqFLCWzQc/2WWQeYNQtVgMcqC5+CRAMZOgaxomOGpg6GvLkY1PZH69u0XnMCpTe17v/d -njyDKK6aLMMwPsEQNrFb1gRe3Xb4UUJ2qzKPMDybF/S+/V+PQBq01M9IzNBkSfXdND6gyFoAcyO9 -JcbreEZOMwVtm34u4/5aGUK/RoEc4gjuw7KluT2r7N5abHud39F19FhPGITTk1CVKxdU3IgRI0RF -G7Iyj/P02Lvt6iWfLuOsTF5CwFrWnz4y1Vr8rF0FTCqWNSWuBvxhPthITpgi5f0jTmXHVUTrIqkI -d4IZHx2Tn/cEn+ejKoZQfkiFDj9Yfw6x7MRWzL6KADUErs88mMnp5MWK4CUy/JOeq0hrATuWT1n4 -8bZf7nYCONVN7NS4KCTigW73HNQCDqebGoNnstFPq3juY0oDdxMXgatps3drerdRLrO9spjJ16f9 -B5d6WSpbuWl9edPuv6VFNL1nsbOAkkyUNIKBz0P00s0RFSkyY+795PR2u1LUWUscCrUrSWpfpfK1 -cTQUuxYnNk7evrL55wfHVnHs1S0xhpxqVPPwCGZ+t+45oRrjmwuqbsh2OEJweEUkEw05Ur4ZPueZ -ohq1pT6Z6jjLetn60LYBt5S1OESbtUXWZQW1fw6OXbmCxZB0g2KUx8vulRrZNPqbHsi6218SglT7 -hz1GUQ1Yt61VgprYF8PWGchOFd9BjnjnJDzvJBZCfDPqzyp1pjSivwmdSg5oC7kypoL+Vm2VGm5+ -wuhbT6qDUNj9XKPMZY7ralIT2RB3OT5mvetwZ1cHtpWjq6dual1sZUSKemBOfTZxNRQUMqm8hZfP -quEl94t4LPAEDcVb7R0wIC8PFGBrM9HJqGlGNoB3RhaTPCNSlozKRYJ6cvTgvcPPNxyRo2pdZMXR -GE/6Vgsk66e8WnEMu/lxUCQNonvpK3NYSHHHyyq2LnJOuF81EO55KoX5TzTYahw4/WGdlCSdVyU4 -NsVCcFhWmvNZ42Zii1TlFUrclRqj2SBx+Wyb8jzPLaX+HPckPxoJvaVmRFUsGyoq+WJDcM9VKn8u -H51z7ylg9Occ2v5IGlzDWTpu7zoriDmBtblCdzwzSv5De5jQm5mBTihzC2Nb3TlMysG8CLuHBHzx -Z4EIjJyDUIkmjZ8Bq9h6PyRLTm1ulIrCTj3/CX9J1PE9fYfGD+Mn8BX00V6yFW3NCnln4HrW0asr -FJR/fvauqHHl7/QIuCGCeWWUJpIC3e2AWlkXWv0MQecZX9Pzi5225Ge9Xq/baxsxReGm40RoE5lQ -8FfeDd3OYcK7R5E4DkTz55uxtNjk+IDMu/A9II0i8X2wlM5oHjAJPC7tNp176W6AmdcK7xXTS/Zz -GHUl2DGP18cnPunzxJcJrQgqAiBmHZt63lQ0mgJ6vnld+B6AzJERtat4Rc9wdAUCnNRqpaud3rvp -YkA1/lMa2bYN5Of49Q7t+C9oVkL8tq4mKLXkxJkrHkmjQtmtXFq4UcGRspXS5SbtHdjRMwVENsP5 -4CbgPu62mwlZ4lj/maNXNvqaLXu1lHy3Z6ea38+KnUnt0e6hy4a5CqKBzmEbGbu5rHNYQ7GaZf1g -+cLo4VwbnjKUqRegPMbb931XWJapJ+Vepsi4OgbvdTARlxdP3ARGq+oFqYcNh82prL7thdhD9IXR -oVC1dKUlpDNr2Ll8dkErtoXV/PZdH5nSEBE1Frro151wxZNhMueGXDUOq1yxW40pgLYeM3O8krp2 -NRKEwIvHJ6eo97kCYzV+yXDnqbAQgA7a2PRLxrZoZWRLdSYLW0fIVDYeUjvPLgqYj5ZR3Ch4Pddp -L1E+QCWZujP3GZfaa3XfyOc+C6ttlJis7+TsRQ2L+2EjGi3DrXCCdohDQFFabM4b6rNpkNrOgtUP -BDdijyVm57y3GUG5AsdNpfbLUX8Y/MDZekw8a8hAwCvpSK40jHHOSDbG1n8CdHKRSvRT1mHbgMYz -ZXFCIXucXpktyd0QMJi9FAjzHAkhkHI1cNwybne7uh7GSxfue8B89GLQeTcxpr8wxLGXxbPiDLz0 -NST2bWE+qvN05G9Haj1KjnTy6s3bxPPWaAEClUQGJEkruWxfmUqKpygJBEHQs+eykW0l92psAWos -JGRzXc1ZtaIDdvj7T8+pl1/XhfT/KZ8rti2syjxDHIAxdm5EzWQdK7rykG9Hbm67JAC3N0DfXnG2 -vlDDzbCltQpJUKO9D8wERi3YxQYXgQlTYVvkZI5YEZm+LKw+Ufev0x8+TpdFLf61XDFxYmj3SH+N -gzkUvrjHM9852CfEaK6LljGPuju/uTSUOeHNBrF7LDBcvsb48mJusOqeKsEAzYjYSwN3YFS+1YoY -QXGgnQiwII+2vj+jPFgqZPHxPds6EsN5643Jj9R9H866RvyHY1/p1ERLWkSKSc0Ug5MA6WdAZywp -hwA7Iib6CZHL8ggyTKYnMIttnDYi4tGLgdByr5s9IxwTseG0emp3FaIElJc6NJ+t6sq/lZVy3taI -h7Ae4cVPzUY3CHv/HWuSbBa68MDerX4LV8ntUsBBQvyKHtycUGcvwHZpKZqQsSR4MKYrzHiUJgne -J+LE2SjKXMvcA8zPzJ6SZ3VsXz0DBjZq3GoouUPkB9KbNtExd5G3kLTcKmO9FmF5o0fZsFLXLO7G -wrW+87EDEvXKw5gdE/aIXMsGWVvpflv+JcjSza1F+tX922FdKQQbN2xoJ+GZDBxJAVPwHpprZHU/ -TkSpIyDL8a4lRVgYaSoB+E3CGspq/m5LIaI1J8Zcm9faN7MTVLgWGaDk0BUhLThbKocRel5h8/r9 -oV8pv/M2yuBeMNGApLs9yr42NqA1bqmG1x0ggMaPzZqNk/48/NCcl+POU2XAI1EDnpCmv+YqtHjt -8wfUBP2zV3FqEFud10vzgCPU5kSusXWJzJf3keSrmqTomU+rAKJTI2oZEIYIAXJw0LIk5C24Dto8 -sAHlEHM+Q7UIQLNUhw2nS7pubaFJXlM/9gCDEtKDIJIP78I/G3oK519OEFPzL9qAeyhsFrWxNinN -bLGS0x8iZ5xcsG41BAbniVyEOhQKep21lTpVikhsLuab8hbxtDy2hM2+X64KP7pTln95wy4LSkOi -b3/aCkFVqZryDXKIFB22R8EOyR7UNYSQ2sr2+xE4iB3VuDBccDjxbo8JiQRgJczS4cCAXW/uGoxn -J/LGeMt9EPSrbKzQMBLZvDXdY1DsLUHe9+0EV0ARmnK91l3aY75iESjlq4Q+mLesWVaEOnXbgykU -3tejfyyi1Q9uYSRDhAVNVlyb8TGp6huzycvbfrZKOQ0Hfh43MdvZAtpNz5uqFjYmJ93XiU/xBAMr -jXCfYpvKkhTJTfL1hRkdmsgx5hZ259H4y/GC/P4tLIkD7BvZfXgCGU0588hGjamQPYBtwpYBxVGh -TrHE0zaV0192eRyC3b1RzFY05VS68bu8tjmfOqqSQZPrdJOUWLpdg08Lrm6A0A0KZAFgE1gIKy/g -/Fe7U0wa/VHX2VFlSvBvI2MhN9VTo6cAQLcppq+FDXl3dks7w78CVrF5lnxmk2+9krAljLTsfaZ6 -CwkjuwWldTYh+loMAaLpY99zFb62rFVt2duWiw34nhuc24VIAjZ67xF7xXcgFx+C9kJt3QC9iIco -MBtUxsC5qZhus3y3pTCo+nTyPHXyy+V2L3bBlFQF9y80kIiN1o2UOlU9WPE6uMzUFOk70alfpHgZ -x8xDBBCO3uHD9F5sVEhH7bEjeDWGMJVS86fUQVvoxB6YsbdcGfbqMid4ytHl3ubeD+xr1wZnOT6G -C1DWkd+5rdB5oX5n9cDFLc7sBqL3M2mrTPlSX2h+takpH1LPtNlBXUYuY+sTTGDfA+6BUEtooN6f -6B3MC2J/QFkyOxEQxgRk4zFpc+S1gvcr3TXBogpVyXm8DUUqzZITOeSgH2snDjRKabfoXWlUsBLQ -JVEBmTQRbRR6bx5G3QL3QjmWYf9fJ4ay77XCuaKD7CskvePDF5RAnvlOClBWdRfQtwD8KsM86E6m -aDbW9KH37y5CDRNIZxTBzrdiM2bWB2L9yZYLdIb0IMdJCn8YEHKLKDjqXDBedGWA4gXbn1A9lbcM -dcijIgUoEYzUKVVzvBEWjGVibzxJkBhbGcBy75QCQJprb3j90xGj1se1ixxQ2tEpU2LblgUKxMvF -3psRp3gM/67jAE+pV5RR4gyNZF9/XuWUHv+G1zwq8iapQPLu8pHE6O9QrNNMotbtPlb/mlo3J6pm -chC+Ugt1l3PWk9bDJeOfCj5sfyPJSTdLOdx5ATwdjpsKzq5CEqUCmxaYw5x/JqWnZHGxX8I1XJbx -vHag2OmVyC4JQtClt2/u+xk091ACfxtZZYQDzOYuUpuhxMdUdBFhyYR7CK6o3ahljdOL6KzpiRMf -5oIwgBAwl3YFvTCDNFVib9z8aLINd17cWvNcq7nvF4EFgfq3u4ryer/COVfrgBh8V0Ky8Is/w/6y -j2f0vNtt25nMW0PZi1k9K5qjo5hGomIM4mBJ6ZFQxsfy0RA4a7eTr2DpgtA5hRBOgvYDaD4K04RZ -Ciaw1Vev34PRPa8DJAaDXKCy7iCcS/SwzKNSSS4i7YHZWry7dzOa83UKPdOm1+RFBposvx2rNxgw -OxEP7wceK63yFAOSTHZqTU/cYoz+2umMQl7uqkIInt5tQ37kT8zzOgYu4rXkzUJVhB1zm3uGKEIc -A2E9/Qj+imIdoMCQ3+W0ZkLOX12Nl7/9HRguRKLpW7v7rxL/zdZvPDjHShSHw0/KRIifrbV6qWwU -28LT7pHGpJ8rn38dzBar4YVsH3mJqjfx9/K7kLG0EItDHxf8aQfEaSfJx+uGm0WUlYvSdd6WFZ7F -RL6HE647p9tMlR0NORcqsJEWvQeTTB+VAvVPraLRg5PNQ+F5B0d54xxOxS2lwdOHeFaSDDeOpNRI -T2q512adkHXYrIXGjim5kxVv3+jcHhGr+IxEGhFLoGKwau7iStBKdG9r9Q7iiOpiVFVW65+rCKv3 -01tI6sWxzQaqS0uGUMSAIS0vO1Ymkg5VeKtmfOnNgrbjemgeQ56ThVtbLcD9LZ+nadXdFkDJy+oF -hTBO1uQt4rtGU/eYZ+3YhaInn6KzJyBVZaIWwJ+AFKi12l3bTc+Obf5F1+rBEBirs6gN/KsdZ9yY -neg/4C/kWmW5O9Cb8XEC2xf3QBBYD5gGAaLZVMDUiyOZpLnhT4hOsg5uBqW2qsfjF4vrNMvBLJdv -wcZfZKj24oSrKgwgPs1rODQgaLnk3DfM4RYB/xdQVonQbEf1KeaCkn9AvS7GhCF0h76F+/nuxu+G -ZJXrFKI/dTVC5PYPUKI89YeP53xYcB97SVAymXAq5EYhR/7VIH/Ht/6bvH1CkWJiMIcRZ5JNw6Oj -wWKa8D2bXVdNCPKatHNkxC8V5+mrVz3UEFubb0x98loqxsU+JCVdpbSvWgO9fxRbxb0Jnmhp9Mmj -CwxLephGdKthH6PBsHDuqG/rY049UFzoXRKcwQgRo5GihXiEx88NJCvI+xQ9M6k11XJ3GAzkauwe -4fH3muEmFA5rgE3JbHDzVlMMF7ACS7BoYjr7Pdzf6P07sxOYN5KzMnfKrOoHI+nkYHf4or7OjXMD -m9+G9X8nquhOYH1HVmJ5xpFJF/M6BNf1uPjvs6v0iajUX1qm2kR6FTVBNhgr6oJC99S28Af11lPy -g39+LyIrkG/kOGMwNprbnziBuDd7OAzxw4PbUR4KkElPsRWVsg4Su6a8dTEZPzC8Wya1wcv+lsw4 -+qMzrHRKy64IAPMNqxvpUq0JQPiekROdnekUiL2H4ui5nnBNY1yOvxVl1Dq6O4ipfcEyWr9At1vH -n/54mQgrMKCI6o0TTJZfVZmY9HCKj3DNDm3UJG1WAC1BshTrA8JG7qcWK1ajhjUbGi2YXpswcZpx -ddu2J76NEc1Lf6CWdQMRVqOZQTlapGx0TcaxEPMH99NRgrVVS2/jjQZZAPVsXjilAtGq9PkWEEO4 -a+mEqyVE3bR9cZ9AqSGl8axHb06naCty+pBzsmIICKMB6MKeBTPZMb3BLLsKkXvUEk8XHkAT9dZH -VlEBNUlD53pxyeKS4FEpyt+KggycSM+5y9vouD6g2WmaYisLZGynD+I+Fwx0DQi30cRFDdrq5HQn -FsENSwWqOj88/+FJwUgdOwnIvsdsT20fI3yZJi4EO+4Nd/BJWdqJhfI7/48KYrmzj+c29eN/Y3P7 -DUCSvbgAPmVkPead+ARQV57L0vw6oIQdPOTetzo+EDnYJJ8yboZjFK6dQvAkoDmSlxePjEsmrXW2 -DlY9rcD+ZDvQ6acqv1dCUTI+GT0oM3MMsaitZPd6ukVLyI/6N6/SjTBhpKtDralO7qoFdWabrXlp -AdqOOsGrvDvLMt+tHbK3PCDPCjFWw0tR+xHNzbzScigZcVK+OE+oexL/C75rGWJuK9Zeof4bzBuH -W7uAs3TWXWM0kxMzRCtH2K36Ahqq56iPj20af1khWOxkl7lR8kqj53SqcGycMvNwa1zxEgYKF4YZ -y67IPcYivXFaUnn9lQNtErO5OTGMXRQxUCZqfb8+x+/6LcAHJ4GayisKQnZkJaS7CjzK4wyfqBiw -WBDpuzm5tINkctXp/aq4rv+CBc+swbpvbs9/Yhppx3jCjZK65anX//RFHdqXG8Xt8gV79NDtx41V -0lxWidOjaJHQBdwu8Tqu6RE0RUEHCXT73zXdOL99M2w+lzaSRbFJ5BCkVtNqJknoPVtqBS4eokFP -bQVVdsMjQreBUQJEMUCDDfr125sc0NYFHBJdLY+J8Hd8WWIx1FVYb0hKte1E46FFubIUM/UcWCTS -7cXlIEO3ArZbDFO1LW5giyBa0rY+JU7uIr28LgmSc30h2Ieb7pk1fbRkP/tn4ZWdNQp6Z64Cjwcj -9pc4wgZ/eE9aahYG8c5sroAdKQ5OhEO2lqXrojjzzkKHgIwsSyEHIneZ0sdrVcDlfQWFF+fdxtYX -rDoi/WLvA5kuoPZz0grcNbVoqXQ0eIksEo2Sj8TYpvlKXpAUIK7mZsu3EiibxTQ6pka06tQvVSJ6 -RLZvrbMcnTkOmjYP6p6U76KK9rp1DNByR/ZGpa8SIOI90RFwXYaOfoYUpZrDFjbMhxegsHuZWeTR -ug6HUJ2NmAjw/FAXvO0G+GS4eDm8iomtP1n9jhWHBmCo8mT0+sYw57TxJCQ8BAm5900nn5vGToXd -YIcGHzADMiK0VzW73+5fGEboFh1UGGwzMwm8HzRln9yrxlVAKl0OCGok9q9qyADSFi6X69ZAvi16 -atucW+IcBc83Idb8hR+imlZBW4qK/5A9l2FNLBuaNGjvSfuhomxFWpZSz0Es5Q3nTZh8mAKG1iXQ -wTHSJVvENmoxw9H8M2GqQCQAnH0KSzFYX/RbrnSQmPDpf1QFpwEqgozis1NNAqWIntbzv0mkAPTM -+XS8fy9D4FO021SHXKgGR4e3yOqLZHUI1XQ3tC4EMd9YDwELpgRA3Wv7QFQVRNTHfMG5faVmV9R6 -vl3G9vcFHCBDLM2qrRLDBeQQlIwFdTdTTS1tmmiw6AvECMb01ibcvYvyy6KIlGp2QqgnHffvIhPk -GAps3a9J0E0eAJ/4ROCqcCSMrjoQDzbdDmigk7As7bHjqSYa96yEzFcAVv1DCuvcPI3CPHj//VdI -vNJr+g8vMjdrVcy9fDQD8jy254qMbmUnDhNQBmg4xMcwQ6WMlvYTGpzLGifyxdUwpy/ky/d+RqSg -vqKJDjZ2RSC4Y46JHng6Yl8A9Vznd2hgZYiFuW4SjQSkWY7Lkx2XM9Bz8fQCVpCf0w2wgo3vNCqA -HDsZm5zDYSo2R1FZgXxqN7uuJcdvpbQgiQ3zg3NHjT7prAZUrRKeFWVQjR5JdSozSiqjppymcW/e -gpQp0vxPf73+4K71whvujbPRJMa1mD6TarxR1mj2SOL3k/x/CSH7++q7TDjwTmDLQJdjmCfNJY48 -EwRWd2oSpvOYMvJz3EnLe8RVBErtMgEXY+8PDedijH582UFQfogNr5upS1fIPknmVSMG92S89oGj -BybRGkL/aaejuK52ZBklmtuhrUYu+JGyKkg+23MR4Xyd8x4J7RIP7gXX73FgwIoVX07K4UZK30nC -H0iTwTqw2w41FS7P7WNeriotWlR8bT1PmV+9GqcfhMF5VZ0RSumhRBr0rsI8dgdcN8LgY1+k1vAQ -12HBWy2KaOuso5Gqebws7UXn4JmNkrnuljPDStzqLf1ZCFQkcxDSysGgvztdzQuI+mGSW2XypE1P -kb3B5JDIaEs8L3Qn49B/DnDxgBhFB/Wn+V+eTJzv53liBKLMapDu8tRviW0WNWK70iDSWNmGDz0M -vzXAOS5p9CfsEdzuPEi/Hr8wzc0lnnUm7qPPrdWcUYOwNUq6g5uSNLWZxw5sAVlHARLVEYzBcVRz -iFYUZpza7W0f1rT5rst8vp6zQuJ+ZU+9Y2FRrH1cTMy+LqmVlenUaB6UvoNLeiNOQ41jOQz6JOX6 -zr3VopCz0KnupX7SCMf0Zyudb8oWsuav4fcS7RaduOdLNdthMqFLB4foTh6o3dDtfpgDV9Fsbu/m -U4r7vManPv47NWzGmWFSglB34C1Kj+SIDjsPomVOIUXH3FKWJUgCGJeTHy40xsBYtRe9vO8Ap8T/ -Hc6LouFdRImGwYddxM5awH5E0rqWxDrICybuNeqQfDpZ3Ee0u0vzbkB7LRcRJe9sUr4WuK6LB+t0 -r3F0N6VKepfujMtvtiHHqdwBqP5/syZSPiw51Xrh4vVy+GfkX6xuspItxtaG7e0/xHoToeRNTz2P -UYqtSJFm6s56fLEj+AZUJHU5oldnPa0Z2JTwhx5Twq3c2MGMk1TVEdGlomiwGHajNoK8Z/mj9fsg -bV0XpXMnTFY40KNaMhG7hk17Qr4XrFE+ZmAxmR3jFDBjuab7t9nmI+4bTg3wdO9hKfV1B54YJCko -EGPxVYWie9opR+w2OyL+Eed2VdvJmPIlIcheRpZMXTgl/dzoMdJ3kOprdQQXnbDfhi6PG4dc3xGz -PcOcJ/Z5yY7WrPyMI0/3eQeCwTNPWfoGB61+dZ8XSWzKREGps1sL4nN1u48WUayjV5hta6ihVPDZ -yWkNf5eP2Rkps0LwMGwBCW6rq1YOl2DOvkYNGINfydpd2r1+bWL9+w2l0LyVKC3FXPgOvwecrIqy -mvJH0VV2qjLd+dIHtB6vumQQCiSnjgC7zioB0yC4Wwa6XiKCS2lSsaymBpdJdjzIC0/8XPh3SSzS -L3QT1DQcvHX7pk+rtRCQiaz+w7reQ4hoUd7zno1El8vJh7QP0tdrYEP93nK4pBSHIUkQ3xkuNJe/ -M0140CAj0fKt6vXzXwqfI4S7uerCVX8lGHENu4jZFEyMd1EAxV85TG7m1m7rT0l+hvRmUmh6am+k -Cr5buIdpHaUpQISwbAU1A3Y87abA9EoHnO8emznJG7+h9kN/Gfnl6E/BY1UzumSNLGOoN9fBLpKp -fICS9rikRAurscb+ifcZU30d+OKqTSelFXt4py4jYjrefVeC/4dLJL6mkHjMPsk24oXi3HwndorJ -4klPj6ya8eYRF2VHJV5RU/O5Xq/38HNZbW07QJ7L0mfl+5EWVhbkJS6uzj3FY55FBwrp7rI4SA5n -vvDgpmvX6aeFg4FlQU70oqbEJL3NXc3pJ/F+OqVO98qNKqhjcaF7/95h31wbM1H8X+KM6qdiBXn7 -YBUqPFjaYZA86CrqsQiV1ufVYNB7/t+bstWDREkd9w5T8ULBYsbtQmh/C3Cw1AW3NQ4yDXwj1A3U -6iCE53szKBgLKKiisbzm+BtqpVi8AtYkHD/PFoZXWI1FPhn+V079vtAjIdbMeiiJsVfNuGbhehTD -LFO3rak4R7Dr78JSHjrC4VyLTfhLBdJS2b6VWeTu3QoV3RCGSu9B3oPxbj29V6OrLF80Kt85Am6o -689B60IcX+BXws+gS9f2FrlLa7TrzWBDW3kOC1vv6AcjOQMGjqNnGRE3X81HvOm6PDkT/1vlvsyi -zw7Rr01xUBdV0kRmJlr8b9a0svoRCvUlQs/aADceMSIZarRaIBnfoex0xndMrkk3PEvU6nYH3M55 -BebKfAZmnTxgYyVVeHF5Y4KHUbgoNSj6Abkdk+Ypra6FPKzZnA9Ro2WCOp1EudpTX07PBoVNF5Sz -Pl214+FgIRsqM3C3yrv63psbm8HzSpKwqvhJkIKYBuQgr4xTeARHS4qhusodoqOJUrvnoz2ANwaT -myLrK4syiiRHbcUAMFZwVeNOC3bnkPFe5QoGq0Lr0KdOD23NG9Gg11+iqLOVzeUULu1U3SpV3JqI -UWUDWADGjTxJpKpMz8SYTa16wMXtGfoQp8G9xBSdii+xvFIn1ukWGm2j7BZ35xF4DKmKDmGC9GrK -laxIyOxXo823uP3PsLBU2vYLDqau0yFaC8Wsf/DNtRmL39NGFrd95UOsehUgMDwSpwnFqg2CQCHa -teEVNRKa84ccPbpp1z19L/mt0oc28Eb2S1T1pNuovBX6JTo1e7CUvxqAQ2WoHKR5g5ShIPGcUeoU -ZBEosHC6xzcA1enNzGcrN21/sbJNlXa4okzjL6Js8KPlgaCuq7nT0KKm8J3IjYFKX364tlm4FVLY -j5A8IP+zyVtGo3G4vOCeG0ZH+L1+8DpZSdQ4cCUImea+8DI7mYAxAwcjJ/tB+5T1ORSiszOjKFK1 -vfjnuzuVqz3Qy5SFwMyQL0dFSucsuTm/fFAGyBMFREzroqa0OZ9k1Jf2APZ5/6RqGktcpqTVKKo4 -FFLR2SbyhLJEFWvH3wplc8+FLbcHxRmbz75F8QuzmvtkWutko/zz6o6sHUldjMIeQYc3qOH2i5CU -Ua3DWSyL5C/jqYiy3EcuZXv2znQdNXPbaXCl+vKRQn0DdqEIY+7e2XA2skclryNZzKJMn8lR4UKF -eJ0n84fc2K1s0xqiG372adXs/4c5aAHvysJTVTau7+px5ZavtjdZ/5jaSK39klEhajPrk0m3F1JC -2nAp8Vp4vApyhiox+gUm4hI96vApc+ujeUuEUfJi+XZQ8VfS9uk1H3tHJlqI/Mlqd/Wqm4naPdMN -xBkeh90MR9dJHYB44UPqwcwWmPnz4w/8xywtnCfVvAPkLNqvQzraVkz8qRKCV8mz0UBXt69mBdyo -aBUKudKTQsoYt+Mmdk8tUDRZdGE3nJLxH2Xbu7vZ2yg+KQBL02KSokYNSNMbIfj8yH9f7uPxybKs -/Uyt/aDMcgvtYIezNL1d83X/xVSsnCpQSLZ58QDvTO6HsoWGdJZAp+6JRdEMUB72wnyyFW6HMEFK -K+9jDmOekBVWSaEuuCKjNTfKFDS0nn4/chnlVKhFaA9f1mXadWH4TxeF5S/ceKP9Nx3h9XNnAvIm -hF8mika3LsjknNzKo7F8qFffH1QvZcHnXmihfvJG6vQ+LIpkGqaEyzVXeU/BydzMuOQchUvQBvBe -Yck55VtcLbzGmq8tUKpAEn87Vonha3V3Jgg/QutWa8dlFfu2BooH7FbN+wQZhAfGSZUrcLhKTftT -1y5nVb6lcQ8eYOo/2qWFmTQoKvE/2ThXOn070ayRzIwK6hN/C+3TKEjreRzGj5fBG91oB86fjSe2 -GAFng/FLAK9hHJXGmNCN5I4mxiVvmXpeZqucsLAOJdp6enp7FaDxuJJg5mPaEvcFOLbAR+oos3hZ -0cochiM3vjsX80lBvVY8/VDChwy8AlqmIX4BIrK5USnjwIV7DubX+dD7lGXx0wcKVxWrL1j1B9+p -v5jPvER2RaqnhJdJzGjBgsazvljqgsY/NS8RjwL84EoA7zYRVZbeiQT8ec9fyv2/ptSQtS+Yjm94 -E0pS25+CBr8p0hfjOvSGZd0zT2oSah1dPN1K02p8ftkmJfLeUqG0w03LtMy00+x58iDqU9a3io5j -1vP/7XKi9rXzForjYKl04gEOoLFmDpcwg3MDD9zEp4p6psDiUqOz5P18TPS8QtJFFNc914XQeRgI -XooM3Qd0o0ya1pIpbUM/wNUo79EJJ4HUtQEcfJPDLBd+TvrH+L2aY1DE6O6bFp2WN3o+gf5+U7WZ -gzslOxero2uZd2fm/sDtpEEsAFVx76adl6bgd3lrEdma0UdMylIhnjJzelk2bdm7ySfopsxhNmQ9 -QIJZNfuE2Ck7jFEHxqdajx2gKsaFMVDMbqvoIJsKpI3zZ4ANPrMYmM+eh+vxMrarWtAEc063WmrZ -eOy1xsHBlv+8/4TPFBdn9wZjZuAsoRGAxtaUDiUK5EFMRXSLQg5hAojB5QTaHznlBG/amdCDZ6wZ -Ae+JC1ZdI5lwwwiaJ2qySrb4vMpK8FzipxKTuVSvWuiSHAuiXLoljwWZaTDGteuLIJKvxQ1stHhF -zefwpXQTBODFGf6FJIkQSTY0QRUNFmTsc7/PhNh3esF/3VzrXMwpA5Mi0zxCiKEv8oNLLQzj2BEw -Y5qlLbeGd9+fKAgvzZYBA9leFaBzwKNa/pzBH0I7/afUctCfiMMc8wGBjnwf3BM97u2J60+L6GE4 -nKbys3kBIleNjpK8UUnLWsK6ni8lxuhZpCNwFg3D/SkOhUT4Hfix9qQYChUP8fyqoQ/hGLcQ8jpZ -72UE/bXIz1K7+HyNRHAaMNZLrQk4tOa+rHMFEX8Qh2UdTaEO0O6JMU3OKweaiLJGDmasem/hOQUP -VLE8kXT0QuD5dHVolb9Lk6S+Jh1ZI6Gdl1WgXgKMbrNquiETHJm6NgnKMs5WWHjV8K1ik/8ErOxw -HJVf8/IfCjUyekzgInwwZcgYf9E/aR3IlqcB90oxGFI8bMk4prBAuVXjLguyMD7JzkMywlDdCk/E -Fl0oQ1m7PH9dghTUpvGNZrPd40eALtoqehF3q7vNkuZuM6QPEzfvEapfspZYAckcmpwkFNK8rrIy -/zWcO7R3raqBNBgTCdYNknAznuJ5tET/SV41DHl4knZyWtnGvJXFfTcynRSAndGYed/ykgyR7ReW -H8b+2t5PFF2sXMWmjjNyrWxqNl7yVGuyhQ8DdY2SpkAmBgV5briL4yKtY0w+D8t6ZHJFKp2K/svu -qqFar1AvXeV6BfpatULfbq9fNo8PKeJyW0p8IPmKoRayYti4Ga7dI7u40BIkV9bpaGqsfL7UYkHr -SGVFteZa1vapAJut+/rr7x9cLPphyRiYHs23I+PVouWKTjTbTB7KQLxJHjIHknPOyDbUrpsUxyOx -sD7kzgSmhBrCqi6BWFp7L8inyflRxuido/lrM+pvSkxJM+ZxjKP1TJDnEG7Gkp8rOfxXFSplM5nj -d4nC1siXQGOf4EIxn7K7pCOBEaxrgTWUkgkS6uZCoj7hUuRH+PqJKuEi4whiRTQALxTV73gQKUre -7m0fu0HwW3hirAOuYlC6fkPDcxzg5sDfx1c19OEwwmAS4izkYXxLhBtQTgcHZMT7E1tPXVdJrspT -twFGVB3j/Mrh+oizq6JD3HwUTtLIuKOhyCOvdlTIhXrXu3OMzRJOkMFIa3JGoGitVI/1oKxnipGL -M5Dc6IjxUzk1f+MQUEDddbc2sLWhv+i9nJI1diX7cx4wMk0+BWHSLV+ySJb+1AiZaSFDGXt8svxM -DKyix1sxtcV18W/18ko2iOUcZidYIJx9S6xJA0mmthc4J6/G5Koa8HXEJ/3l6Q0Cn7XA8YWFuMeN -Q2ce+ekXYAzaVMuMovMGZTnbi8vIbpqPqfwBRCreAM5odJ6d08kiphrjggKD+Zb4qrjpCy/FEQ+w -SQNUkt2rDxD826brHNLAOldYyboKw3DQH+ChedmEyVBJlliEILPC8Z7YMjfxIpXlPNbB7vyjKtf/ -cnF3kanvzzOV142rY6N0tVWKLdy6RDHDVuJtobF8H7E8nFzzqplj7vkk+M9puBPMTxQWFTrfaRzW -onSAOHTV9Gw0Sh2GCz7txLObeQkuOpFfxGoyokjx9WP5nczFNZziYz1ksmZXf7ZvOyIlbu7SKWAf -eL5zooSWbbd1qEvzEPCrWoRxapsFVG7MRTQjUq6L5zlziL9/AYGlWGvBgH1tOTx8X4YjVBJY6m9K -XpPuELdXADXqiwfGMBfBVBTlC9/jAMp66AWoHl4rSn1VBpugdEFO44J/xCvGrVfZW+FAyR22+ZQ8 -GbR1qNSUm0TAo6tv+EO7Y0G/PrXmtPscB2A/v5jkkE1LbyJNH+pqSySJd4dd4aCXQ45AayQJcsey -fsdT7xavdh2NIDfdP+wGB/v1M1xT+1hDkHhpfUmt4jMgKgGqJHlxw0puBuaZMwScFIiDiJOJBWJP -FKF+Fqrk7F7pbAYu+mgAA28CQdSHXsoKVOddwHg6I9zVUuKuElTuP8Z34kH/jrEGA7Il9LDNGWiv -EBGmVMiSVXyzuZ+ODEcjQpUTlWxnbPOKJkXY9XJmxJ2ZQPyyyb9nBf1B4XlP4vNWyFZ1SYivag76 -Aw3CTWwMCUytAU+TWDlUtkVpBfGpivSU2WT7cCXMtelbc6ClUBLoISggnS5JjIUT8VLm/BMHVrXP -rMc88XRNWSr/mGR1dqnU8YOE1J/flFY0WPp0DGg0nS6kxNET50KPR5RZP1HSNR/9kpxBhYdcw/6b -ZVwMZxV+Mh3coysm0c4S4AbyrfYj02m41BzdTTcy+JpFD3oHiyzfDYMj8llH5bTu+wxtqRbAwFE/ -7kPeVgUqP8vTy7v1QjSBDeAF1SOc+EiZfw8p7nR2DYpyQ3/sFvvoXghp/k7Priw8Stiyb/1yGEw/ -Ec/wljmAmdnNx+5dbflqpdiL6IrP5006hlMAIiSrmJ3cZGKAxGyjMdqQr59kGOa8uqWRlFrfYuuB -riromSQ8KnTC9Qt3qzk4qw5RCX0k+CS6K6mfMyh0GS+u5wQ5tMbgTglCe23k1edlV4HusMqRc1/f -PUW8nPmqSQK71bk2UIKMeXpUvk1FGQI/7pAwkbyFoWl4Ht8iUM42BjG5nkrjq9CmtWh3ZPQPz3iB -eyhyTcVxy8oPCi5RdRbRXeyaO7isz0T1j7RqywLe7f2CGb4g83KrRHCD4AP/vXabZUC3L0SP9TSZ -vvNkRpt+mj9Stmcx5RlwZoHwBUnh+P7+fpZtG+o7Q6tr+a7uNCBIbGyBZTuvHlcvh6DIbWduqIS3 -LPc4dgDrUvV29w3kpSRQoMz+aqsOOdW3mUJhR6Xap6PJuswOzx0nF9c1+UmDYtxrNh7NrcI9RvwD -NKv2pelBsMO9uI+h75iH/Qwxi5DRmP+e6wALatSX9mXhi7g4BYIka5fpxGAZpgUdc1YtQrOxFPLv -/GHiplKkPRnCamsROAkonFh3VoI15V5nlxMgxhQb6d0LbMcoSNKNU383d6nXFgPraI44hdC28V+f -Txa19VCFmm8/ulk/Gqny7XJ+5HqYxFDUTP1f/E0tJfCj0P21zK3+4iuvDSPHZD8IR1BKtLZKYM/v -WZyFArPQzgWDV3kwKNWS1FVJzKCUzkzA4gUInWz9lxQIKhTK0wCerX6vAZh1TGYMSmaDBVuKh1We -Z1eE38+/xIRUgctPGtZu0DjrAUhP+2eUD84rnA4HRTYVDQ/S5/5YJL0guYoz8i0DOtcXNUp3npvN -SPmDIyIAqulv4gxKeptoqni+jKMrr3eLeh0x4L6mpei+tUlrBhvA8Mh/eWQvoOcOj9hEzZkf1YEp -1R3LNIph1k+UY1eP3u+PaTAhB9CV4fpP/aUcM2dqjv4gedr/8bb+TEbyGRGq/4pcJTeAxkeUiiQA -c5IFighBUwiLPNlyBv6p3TnExJlP7pEr2XE472oBlJpB4vsEPvm7CQHWCihBwPXtAlzEk4Q281yf -l2M8ZOrbEMrrpVfb5IvNcOUKI/lEy/EbSMltXDKRca8HOmahrWrBSL4WknyaCDZ7hP8pC588Eo/T -Jf5JTXRnuXoaSadnx3LIbrg/vJ8jCknm4R4RcdbdtmJkFhO58p6cwKFLG528XX31Eax7RooVBTzy -JA59ba/xqNmv1w1v1TsDf6xc66SDHDLwkvXQrDN/gZwUxP/ehEmJxS5P/NQbBiA112Zx9O4MAKqH -Pq+mwkLr7C2XC6Jxisq3JzjXPwHtFCLJakoLMnS62ZuzssvN14T0tNd65YdV/6VO+HMUJGK0d3wr -oTQ09qNs/Oa2QdDafM0Z37kDvEOrH+g9O3lBsoKCG30BVcpy6NoQgs1e3IEE06G+9H89Z+2xL7Gd -RqgFio4AodxKO5oG5dXN8SDcy7yNzN2d8aEdPpFeSIM3+UkTyGv8lx/R5XD4yFJtMhGw+Hwgyted -Lod0yezEJHi/s2KsyAC4XMu4KL+riYNXAUAZNfYrCHXASaM9nUych4uBREZOsoPONEF5vX+StMyj -gnGUHd+8rzyXpqAorjKSkzGTi4snkF/H3I2UxOZmZ3EeU83Fw7SKFoeN1eN/KPqVveT9+5tWBk/q -ZKob3YafsgSidtmZzF6HoAOzuhs3i8Y4CCwuI93T5m/I3ejOCUkzxn3BS60pqEcXw1klJSRhnmsZ -VUX7+I7sxFKMFbV9MHKa1D/NqUvUjTwrMSrWC/x20zuREO7FyCtPfEH6PO22SiY09zzwENomTx1p -Z0W1uL8YxQO9OiqEeQKT4jd5vkfc9jkAr/ubIaN59fiaKaFf4XqGAaAk8rRPY5MOHZv2oY6anuCv -Vt/KSoJxq0zYvZ+FrcLrFDbJXY5SiV3+Isn2vw11ExAyhGEYhjS3VALxngOyfaotEnsCIuyH4+S/ -PSAMtEO55irif53pBG0lPBJX4qFVFvelpqmMrwJn9LZeYU/NbRtYQrvB3rd7dg1AxBlquFD75qlf -ttoYHjMwkFUpxTJvMVvLrd+UbDBl3eMEjhD9kWZUIwtKlqzalBArdZFZ7gdd/hTQ+TSZCmrWxM94 -NW1FcdrX9eJqvKPjfeWOnbDpqijcVbYKl4aVMekOmBCFBbed3T6PVL7mccz8VNPkXCL5bWBwI+yf -0DEqg/fZJ0U9B61zDokQ+8kpYVcWPIFhwBIaXMNAEM8pU7jNjjzLCghA8MFikScBTDMj9vlzusK3 -XlnycwwEEf0KU8nmQGkIX66ZSR1qgkzNxoSAo9hWDUuaSZMKjHkpyiVnYhax4OanEEJncXvltMPX -11tGyGPgw54TlJDQwRjdaqDKkc4FzTzVqShUvrlu1ccS3B56/WQn3LQn21t/LEQnWVfjh2FCDQt4 -F1+NeBZf/0Y0hJUuHvAX6NOs2nL2ktazJjWAstvBDwdffS2NV/ZQUJa4f2QENDnaBRc5FeMJgeY5 -kHtrt08nZa72dP57r02+BQMOLkoDD2TUDxEm6Cd32hoMTqFgFn2E0Jw1WrYNf8oNzaqXO038fHUu -KTMoNWzk22hIRc9BgvdXSNgRji0bU4JYV6yIFwn472A4EYYs4but2WQ0szIcKgjaXcd9MtVbFUsE -5jCaxDsz63hp82VJh+hLU16I+fbPlQ5b5RN2AKXcQxVOYfUbLb0Xlf/W2gvR2mXelt9rLKgS8b6T -pp0hYVff/BsB2cSWYYS6xAf21qKa+rwOhJCOOejXxlJoJZrATEvH63GRqiwy9zuAn/Dz3vT3l/SK -Sng8CutngdRUHCo10KHMVYwdJ/ZX1Yh+KYZAOWlhNz4iBY0y/5MuxxBUWa8QRJlQORaugo/laGek -1PslftmLp+s6jLwVXnfVFW5ClGBZIVkBzOX+B+3mgJhVNr8lO4pnQyUY/Kwf0n8s71hWxmgvsapi -9uVeS77pZqKGhkoLQ85FzPc7hBEY12BoawTJf3ZPgr5iECGVa3lgOFvjWSZSQm9MvTankWoALAmI -7sZpSYbQtb2lV1SDywUxdfCqCWDebmutKZ7UUCHC1QEul6tvz3xK3v/T//G3wnh5iZrBNV9WkAmw -9A6hRtE1Jn1vbpkTmqEKjA8yuq/RFD6gNW0+Lpuf+QRSsbnAv+zdVBiI+kAxHGM5QoBFy+kTOef9 -rdJGHvmqv3OH+RszopHook6iZrp9XpgZ8vtmM7JZzLIMj/dmXE295Kkfaf+9s4r5IOW6n5kkprOe -3NdSko5jtMF4wc21I0mAuaMeJWaKDYIVlnEZUPedFMIWjTqaO6CJAHQmjrWa/n5geuJlpJtWj8LS -sgFGmsOmDA6fVNTM2Uuhsqje52VzISnw6bY/VDubAon27bvn3byxSRg82KAUwYLTjd7Zzz0IChuv -JRHRtIQxn3sig/KNuu573StVk6MpUby3V8QngKdK1VOQt5IqKkuaZrzpwoRCcKVGEmu0uWFPUs4n -HLv20ENePgyj0eCUEzxvvsoSWi/yxt1qw34s7UE0Djy0g1Lp1cG8JjdeXCGUQOsimCUL0UGLinAZ -FsjjUSeyBFd210+hcpzz03WtOeL76fsMlXHW7AKcALf74HyCtv76IsZqNiTWfey9FscQAOKSNiVG -UOdBcl6HFi7W91FbglHRuhz6VaG12FxBeSd1Od4iRMMLLtdR4W8wrJ1dW9x0HsHzz87i/ddPJkJh -QhCcULQV5HU7lCcG1abAWdH9WJ7WlPcSa/b9LLsSq4nNVqKT780dV7ZEAmx7YeWrLRGKB0IAECSY -ZOSMO5xnCFTwG9VtmUKiUoeU0Rt05Z1nfM9g+m5Qc7JbcKkO1Q9WirbhdC7KQx4136/mWt8UHmry -hVWgV7U2X6NQuKHsKsyIlBWqx9ab45cxQMv7tq261ZKRlGRxjIp8bclHvhSOE204X1SCiEkFpnbT -/+OTdHMpLR908dgeQ/hEZ80Tkib7wm2ImdtDTnYxPtiduQo5UQrWvY2pDuOPoI+OIKrSZ3aPD8at -TYom8D5pCbE0ZXwgyLM3ntRnQU4cvm95cKxmk46qJsvVCOVKKm91ea+iGbjZ/IRy2N9XL3kC3G+i -q1rFreN7Jz0crMzxgQVOpHHqKibiFahUMJpxTCl+LL+ThA3P6ughLEz/Zf3mHQ0xbizXFXAAvfxg -C9QxSpHC+5jWlHzMDvZ1Kaa9UT2U6eMf+QfdLSItueSb3/cjT3qbTg+M6jOvOX3D5S6knA4TKmfM -foVMVIMihque3QlkVpK82UKb4jseuevw1AdzMqfdhjNo1e1utmLQHYIhISFPxCNnmBPgGhI96Yex -Y0s/5TFMQSMT2s8aGYMOuR/UBWDg2uEwEzl503Cu6pe6MMkyH/Y0/Q6UGEasSKGkSGvB4TaJAqFV -kn36igultcnjgj8T+lAThubbFYbyf0njSQLTrJK/kqzbE5V7YZAUjJnR5IlAfn2Ajsx95OV/RJ59 -7jYSHjNzfBURP2s4pysxh2WwF+o+FNipvpTrpvAAJ640VRze8Oh92qcc9dHFhb62VMq7xjZwJCRx -H43W/r7rOb8p79eqQ5TjlTqIgRx4D0ISWSh+jJwcRTxwVc7o39RgsAw+w8JtDzXUWcfcdD6Q5xf4 -mDgaRjYgVLC3rBQ8qmBFS6GTcvYqpH+TAG6iRCx1RbEZfzUsTVLZbvvlxu1wO4chxN+sxOg1G9gQ -5AP3cJf4lsgizJE9sRoj5RLSNAdCie6gberO3KbkY4zQvfrTIU0RsS+4/FKTuqlXyF0WsMhgKbD0 -gDlyHe5n08+7fE12X48a//PJo77mjuVkM3pHLVGh28ip/2XlntnLe+aheUSiC7OpZt/ox15b+nH/ -PMNnNSJgsWpNecSIoIUVCzIh+8/Vg75tK3t26c4OeT/4UqIjDDZDLODkSTvs/lQYSyTS/tedB1FN -rSV0SVWSwE/AEl7KC2M3J+TVyTtWBx/DGhWnbQwPaSppop1/h0beT8ztOvizs417H265sY4ZvN+9 -CQpbvGGIDhBTl9q6KLh+SzxeuTdjpq+QXOsM8kI4bwEtFYinysGnWslEe0dx9JSfV/e8KoqE6vZ0 -GhKbJYJI/w3T12rPY0+Tdv692GwehK7xkrKD3nOVZwRMsBcjaVNxf98CLx5l0gB08gn6/YJFR/et -mJ6hFceV16Bw3jTJ7jlffdFNaa1c7z0oZbSgSU5L/Zlo0L53f8oybk/amBNW3zO/XM3bfNfm/d6U -EBmB/iyohIvZnWyHS5WUT7yffoVE835WlAEauYRICUQIpY2zVk+0GRTorPEmgZYuE2z6a5VCJLJd -SeF2Z/PUxz2mmNhF+OnoXh+pUWJ0t0UDMGODrJjCR+vbsDQ8c+S18sDXNm+BM1KrqPJF0aGHk3U/ -D5Y25SyRI6SO+D6/UKWeeZ7JMS+0H5NsMRPZN+ntjEW7b9cZamCQ6PL/7l5JNUESWxhyM7RSRpMD -zhlcO4Sw4htrYd16eYKgQ0AxT17XhfR0zVTDajXlDjgwgqkVVWAyG+sHqwHCaXylAsFjn8VxVM9r -GcPVuOty+uxd6UThLRtVZCf7Yk5dEII8eXW6pUnMn66MW+eNM4ms+Fh2aflfo9fsYKldvC9hrDpf -ADlgoHcIhDXUbJ59oea7JWEbPuIF/KBRA9EI//qxEX9HIVWRmZEOG7axMZ4xVQ1BmJbh4HGp12kT -FbtocdXRgIMaFTljxluUlmP0GTOyAmlbfzU4RH3hpOjiP+aI6TuecNe5cbVWsevD1i0pl2NCHTGh -/Q/cAdLPT7VfJrbpmRy+B+UoDdpcle2vMQPhjhKLTkZxZwIBXf59PU5reneoKpKBoWYzN0fjvgu3 -D6bqTJ19Q9FQpYC9sMNVYAhbu7VK+g796OfRJ80e4VYw9q86C0nu10uUP6FjpdorOna7sAR3n7r7 -aQi/rBLH+RFRrVPUHl4Uk1U88m78NcFR7cbn4Owms9Rwms63W4YiQL3P51sEHb0vBwnwBRG7kBnQ -9jis8XiQ+CZyAfZpMg4OLBDF6JFnJtvHuBnPVMrq/I+Xs6XeXD6Eegjw74An97tiPKo8aBLHJJQp -U+cqHNjYNf+zvoPD1odtlgkvTtTug07N5Z5Vfmv6bTvGePJbFhQTe9v+TTKR7hRmSYFTgM5kHdo3 -8GRqEI3HPKudmAXRQ39KaA/KJ0e4njfvyVYsJcB4Ql8vMK1wH0LHHuXLJDkEgytla9nu8AMVPgE2 -9Mw2Ewy60cso1MpYJADGPt4DJ1Q3IkDYGECIayh1S2IQz1kYGv/4VCb1iTWYQGqbeyUqt05mYTJc -BYw/6dgQeQKYjdmBEJeko1Na/tXPFHzciZxbUB7dLiWGaf8Wl47KUoKaLSfUYy3+ybroWI/Sb77F -6hpK9ige6cSN0viLVM+OlMgUeqPOvrIg5rvFfGEn83QvAQQoSmu6F5eJtALLrvkZEaOE1XepGyox -K3IPcStpiTIzu9kpVzxFFGS8VrOp3/rgSmWCtjptHJl9ed3n3XwpRjHQwB6YkIkpoaoxX7lCFiLN -lrH+rKq7ldZRGoIb/69G+4WwmWFMliJ/eyHeJkZy9Gz1bpdAo9yYLvo8BDWkZ28+ZW2RUhmh5Vlo -526wtpMb3hpCulYxsHvT1QFE+oXTVkT6Ukfs9XXpYnwzjkDR3/1Plsn7XoDhtk9iP+gk1wUmPPvv -UKmBPs1Bl5WvZ6oUpO5tiFXK/7aB1dQrJryHqUn/nqVMqIV5DFiICAJ0vBDgUkOyxTQKvy4I1x0j -8f7Ykj9cYls9tNXY2OCCw7d9mKKuOchMnNtpdnwEs2ieUbSSnUFTFv+NnUjqbsEb/BEkBVLYmmhK -R3Ys3nx5G1ALTeevc/jR9TK3hUAElbzlBZfBUkwa35UP8kk4OGfWwmyloQfy8li+NFLBSLmX8g3r -Xh3rb+TJu0Qvi5aaCstkngkIFffwkToRnwzwsQ/40DvsVG64qoRDSSaO+IEvt2CZmZ+FpYW5EinQ -yK1R9R1VMbTlNaBC+VRcZ+KYnuwSf/ZoxmY4MPD0YoS96u0xy587UoXooEabeNBCQFDGdPTgZKPy -BHV/gzTKP/YD8rjfMFvHS9O9Uc/EGkk2Ni1wdFhq++S4bLfgmsuaE8e39MSk7ffHoyoZqGNF4GuY -dDdYSjzMmAsWgpm2Owyg6S2gZTQyTjfOZ9ARxLWtuaQZuVpyoKG1nTkCyKO8WR3y0G7peFOCrXoZ -gD6IcZpDFWfKFrQbPJIzzjuirRdBs6qYhRvKivpbz3NDS4O2dqlKgCV2yjXIzpm+J74epBI78S7x -6sOa/0Qw1q2Wy+p559DSHuzhb2MCla8HsGH5W/+8MrA1XEzNqVGLCBDMobLr/6dMz/6Sgsz9LJfX -VKZ0I41QRJreLvpoHub5vFdmDVR/mMCf86gSX15xddk8Q3R1oQ8dDqqXu9lgdtkCzSSKexZrvu/7 -VHcOTglVzzznkS1TTmEV57pY90IZW4XsBZxNzaLMPPboBYwA58RKnRW3c6U6JvqyVui11SJj3XCh -0TjjuIwNyXmtDwJ6tgbdkM8N3TG97no3Oz/sTEorDk9R2PpAFiQcLVS0jGwBhiKAuusn5rc8SGB1 -E+Bpj9Wys4teKjamZ7OCYjIv0OMmpzGC6DJIubgN4rY/8Fc8adkcUwdykWlCrll5YeZovQkCX7cc -JxXrYWSt+Ksob4TA/qMfqJxbb1OpXg4ukACaHMmAPKi3pxwrO6KJpYF8B9bkS5K/T2M1BU3JNWi3 -qmoQsu96gdRVYCJfRe90E2Wkm/BBNeaegej24FskPiP7xiL5HakniSpLezS2fPkJN3lw6yhPHXFZ -PN31RyrutNvvjANZXanLa1eHBhfjg+HNBQFSjGNttZET8VZq8tJN4ElrdJ7WIvjO2qXIUxRhKrIq -fy2MR0u7/lm8xRZRFbO8Su7yeHhLO35xk0L/6r9QlnPL0y30hAx3VNMXM6OBBaF9dssYrgZ7UWUb -PBetD4ff9jXj++RQpX3kNG592mpH9gw5Ru+4VQJ89cbBiwsxt+YQEsS5ckQ/fW2aA+f+XlmVS+nK -sIKRFjMBu8KiFcUamHoWTBE4yXbMPFcQzw7sq/Xvsbtk1XAk0d6pgeb2+hVffa1c9wq5zhjMkAsG -LSI7bOWJhWaDUQoYMXEuNpSmQ5N2wkR8TN7eWunsAq5iwmWmk736H0vAQPXAXk+B1nBeMbOeUacU -tNeXnXHBbc6kqE+Hxodbq41liSsBv3gSMnhVsUD6DX3PcAA7kKbb3WtKdd6o30pGANMgvzFofA9b -3QI79FSRxunEj02qB0G3sR8qOPXIW+Dk6ENdCIrTT+L12yT0Rfcky8WKaJjNaG05E9vvJBaI0+VF -Lk/4JC/Rw52A79ucljiQuGXdPro9aAv69/UUGgMFKnwUnI8pHvfFeXe9WpwLkp7fZ9ksmD+dQxEd -HziNDIfJyt1xmcMfp6VXDcRJtBk+r43k5t7e5lGIWlyfBwR3MUcdJLWDwsnS4tW7TbeT5g7NBQ6r -az1asLXJfJM1KGmgPj+yojwwL3DTckHzUaJocgbGJlvf/wk/MrdA3yL1MJQW7nQ2+fnABWQIBmp7 -Dn0LJY9Pahfr+V8csCVA8cbopAN9YD2Tp2zFOEWa3SZy9dJFG56kF3kEFxszIDIwhXXfmzAkUu0x -GQTkq8EFJGHss5TE8A4G/AdIQKtO2aWsgH4yX/uPOhQcaxK6mVdIxmfvr8E5DUFWu4cCRIeeDZAI -Ztz89jDGMagb/9hjA8RzwNdV+cqKe3ye1QbYBpZK3Z3WcUrXd2qCKaV0FMtPS1qIPfq6npA7PEHq -SFkX0dwlLtwdyJlfjtnrDy8FFBwkrdsjbqbw1/5eBsa3PzfPZyBvGr0y6UjoXvc+PE0WEH1lRc0c -59wAfEWe8Q48twfoi6h/4pSv0XmRQUuz6qku+5xR839xGUfDMgqQLXzBamGef//8OyXRuh+jZaxR -kvNy9KEdaod/80yxeexB74WhiCvrI4rYQ2mbaivMhDl78NyJLDApQek1MQwK51+CmM7rIrEjABZM -K7WDykFS4NNNafmzGErQTjdQld93pK3XEpZ4EijyqSKxWX/aiyPPwcUBj4z8THaJYrpBFkeJUcCU -CFENoxmJWg2f3ZK2vmE2iuVN9h+NkRAcM4bJFXICDH+q9kRwVzoEQLrMJVvJbvw+WVc0tV6P02h/ -e2QEZ1GWuO7Y+XVjqOEzUWKXdfCJ6Po4K4vaAtiHXZFXvTQmxr6NA+suCOzvCn1gb+2P531u2ucT -Sw18MAIetC4FohzO5I/nTbRaoKuPU0z0vrFKl2T7z34rRoUzbUl6wf4uNWdUblVkGhYKP+HMAkb5 -Wf10sJPcDdX25xjECUQAKXh3fIxM1JcF3TabkApiXwvZ2hX7sHco+KsK+esPWlHPR0rBluGsMux9 -Zgj6eQWjrkrB+0Fl6oVRB+pY5hM68FQhHOcdSVN4FYxjhUGUdfRleg/h1aAcslI1djPpeZTHJRtW -Jwx9J7tP4LXbWpGLlUuxWkWGdx8MfMY02HT8W5cvKogCbs8CnmXDDePuiFCnb25qBSlMZtY0I5be -airjZpS9kPcf9z7SVxrsWFLLR3DHthf2pZys01Bn6FgBGm6nmbB23KnV7QoomGFbjk6AbNI52KBX -dnYfkoSGgMLVWNuzSIaa2F4HMeUqNZbBCLWSk+CvMLG1DtoO3VB77wE/sNrsWHUQVRXEcz/elvse -lTQ/qnvUjeIAacjsldx7c/9Vo2GRsDlMqDmCL4lVWAaSrM9Szxh42/ivq/gRjSrtrQf4sWs30lLz -u3/VuwJOhDB7T//vxxfR68eAnbrdTo37FegyokszrH646iqfdO2tOWqbTLEHgeheMmhTcmhgdlNF -GffR0ZyG69zOfoG8eqThesfsBb/Y1Fkw5dna3co6vRbzVrwMY+gO/B6rJOFFx77QlYRilOPO0MgQ -BSV2kGMOkRS5J+QTwe1plvil2R7Jt1ErwhxGKRzJJ6NtybUP3I9NdX9vgvSOskoolglWwpdVIkO0 -oMqKFGqjfjo0jD84QzQRCcmXgNkzTqiDf2/V6rr2LboU0ZH5NWM4r9TfYQwS6cvELVks7EXMlWjq -qb3bz+4ECgIR42L2X9akTLPjYNg0PeKRa99SuZwD33EbuZQW43ic9KffQQ+suZ++4+Hlz2eXZ37e -qV0FQRgnFKBgkvBwJ2jMHsV5Ae+evUYfCtCDvmln9sIY1w1LLnO9hjJyzzy8dRnKfmTz9Cgd6dug -jhZl7TxpwbHMHNupMGnmUOHT2sjDRdsu5lS9CICx/0/OJVIe/WpP0c7LDO+igXkOksWzrO4einMq -4vmTyfh33CZ9bUtkZyDg1gpbWH50DhKc8smVuh7/7DpChoAPR4dNIzIhT1b7/C/H5XPyJifDiMeZ -jfU9ygWLnG/QRmTsAdWEGq7eoXfAMChsmXre9iMqRy7KdBoIrlx7vjvu/pTZ1k3dnetj0EyzS6P3 -4kzvLoDtMx0lb3V25v8WKRpUQEdItE1bRPJNBVr8mxQTMpfcN9jPqRsYTbTfGS9xFOZ8MQRXmvvs -qMdeFz+xAeleWvP0U6T46422n2w4Qf/HSHPIzWFErD52h8KpnRDuKu3PTHLKd/5qHQzYUqEOqKql -QNvQNy00oALkcSmu9LWtjBU16rAfE/wPGNG8Vm+bgRcQkzYY3xb0A58R3pXQRTzWlxcuJdkYc5/u -ly4Z+vYsKXqPFSjR2NTeTWRjr1PibYk9s/Db2zz8sR0N59OJqjyKHsn2Eb1lrkAkLUHoV1UMuOO5 -zgafRC2b7K9QuH7vEXrYUl9lahanfxw5QV0vBl4RTjlh0mNR8aeCOM3d8LBLp/tQIe8KAGm/48vr -OFO1uWSN8hE3Cs8w85twb4ik+kPskufOb/Xw5iHxzIjRVYxr8qCiHtWVqq0dZmlYjrx1J9hcVMW7 -4FoQVPWY5En0sEDqDJ0lkodOQ6Q0B/ZrZi8piMlTakh4DHPLjviamBz2QLoFmPP4Fxb8f5dgfYMV -votsx3aj7vgeM/jWxzA3DEHKr+5G/QuVqdaaRSP9eivIbmSXZihGa5/MFXyJAroJcItH2mxRh+Yw -IFFnM5v6QQzqdt8fShQC+Z5ytzH2T4Hn/BmBiUjxPCn3+RN2/un5/82aEA+gtov4CTILqHkP5/bC -+Gj3ILBGlSRAGsuZH3ElsaVgVGsCROwSun5t0aFRmHQ8revzQkNewJI2+IbR5XpipkxB9oAIVRiw -apjmBo5vpa1Jo3hbMtGRxNkXhLe6GkyoktnYyo3e37nQHAJ/Xmuu7QKOhM8tfuhQ4bA7ju6nXjm2 -uj4+trD71Wzzsoxn7+2f2hoWr9rtW/tcO/ZTSNG3nkpGC4w4ojzqyd3ZzEv2jvfVLx8S6luwiK0w -AUAF4kPmpq3vG55Ew+Ene5uxh4oqM+w7q1gtgxRyBUVbQlRhJdICoOjAGvBaDGPR9zZhb97mX0N0 -o2JWq6RtpRyWW2dG28dAEDwYbPDtaMQZFJGg/WLjtCxC0hogeFBBSnrofm6qYnodMMQocjqtIjzJ -ODEbB4tRQn0e+0OtRdHPpa9FChjRLXgeJzglRtabs/LtuRZP3mC4KNW+UIYqUYPcdBxFujwU1uMT -1/wVu7ROn8wY3rT6sql3lq9oaxOmDfFKwKrKHQr6OHawpvux1lGeM3SIi/NyXvZLzo4vhguBa1vK -4iDEB3qvP3ZVhfr7jlzsPWpt+gzbdK50J/C3ywo8aOW8ncOnleoNT05L0rXt2M/7GFMhLXSmB7Fr -ZvCuAilMd12khMkWABS5Zqrsw6b8i4zam5s4HYwzMmDqGK5CKdn5JrQrQ7b4RhIssOEMCICvZa6a -fjbAMJnhPl2UNiXPXEWq78rRn4I5KOjkn6hCo81dA469KW6p9bfonIxXiTrZi1F36KS7F0H95sp1 -jndV4fcOuK6rGwmR6hg0mVj3uY6mc0cb45/l23TB32ypFBgqSeYtzalvUyREfDtC3+y1uA2nM0zQ -kxcnBSqo+qDoHa3BRV1sRZWA59ZyAFuTetAKhkDaehPyzqcwoMBBS3nmZiTuoOBIakq+0RQX/P3K -7692dorQpOZKfAjaLCJN/w92Kt2cqLQqRW8UJ8+J+XdHgtLM4najyM2yLkhN/XrvhnyMqBN9jldo -o8JMIQf2db2jjQSVkX6oE2wPsoFCyeC5Hv7VbKbq/7/5oW+o85hmtX5+cRIcZkBwv4l1P2qFRM1T -T6MyTBwnpM8SaXmjTxjCPqF+Tqvk1AQmik7j+i1of68J8pqgDl050lbm+cs7b+Ft5OZvxQ50GwPy -M4f+QzT6krBUpz4vhjMa7UfkpCCw4u9x4wkw0oIDxC9g8JjJxnfz0f+DFkiOB2hOfh6oHyx800EO -QK6xybRaKXbbk4d5Lo6qcpONiUTHpB+RZ7R//0Hp8lWH6UDzcN/gkxWt1rhjdxSyD+3s5+5PABuM -6vsaCba9unP+r0YioH2oizYmrqD+lkZjd9VDRFJeZgKhBc8eY4TTCA2AR5XOa1Edsr4wljrwkuvx -7PGq7Grv81MlyapUKAXw5IpGJ5vRIZG3BKfbYRzVPazuSwrvZf2QhJ7WsxzgeMnsQ8uo6lr77H0g -gHsss1p2cvu4KUyvI9kKfmKpaDDsihky2SiMEVoXc7uVZreCcNraLX566hGIt2RllCISJULJ111c -w1ZA2e8AZNYAYTsMETdnhRrllA9/D+DH/+NiKVEmeJFixaZsH3bDyz96InqLI1odCO4JpzreS+M6 -9qqwoHHgCLWoocZ9fwoFiEWsqUsvkWw6Hc+EEaiqFY3dx+gN2iHUR45B/5Y80e/oxnrmooBobzA/ -ctXkLH1oisHJpYKVjICve0A94Nq3JfAVChbf//BAc4TDxnH24kbKyCsvwgG8fhl7/M6uq11j7AaX -QdMch7iY0VVfQAHCUlON15KqLJSvwFuUpa1bhupBLNoe+xM40AGSl/V7lYmUGHgqCpt491yN0DiW -a+bGkrOM3KwPB8mnf9AMX+sy6iso8ouiUU7+a6CG4F6f2pmycjsyrjHC06oRQmRPt52TE/WqgqTc -DYoBn0b83CULkFXy9jDtWerXQyBjKILO5Qq56i6fJDjj14jKWZF7zxa//dTl+nM1kVEomS5KFhDt -kPj0xPQUh8emBGdPEBwhHfG3HutE0Ap26FX6jc5RfTIl7h4NKre9kVKLyJ3Fp3aCMZy6IgYz0jEa -0Ffltsva1Er9ClF6idyPui+bYtoqAxE+4ohObX6QdOnv2ysisQYG3IUK5kPRSIAG+cNNvr9bJJPy -DGallVr3+6nKkdfZT4AXXgvlHwrZ3X9ZuCRfCB894d/XepECE8KRyc4JCNE37Ib12zwTs/cVMs00 -8M9wqwpCw1Yhu9cHy7Z8xV5Cd3E6G1bU8m50AfEN0LAAymDfeorZURKaAiPat2AlHL5w6G8wHYPS -DFeH2995ieo5RSX+mN4MVDgTTMNJoIwh2tZQK9DW4Psdch56xNmQdhJEChtUsAQyRAhcNe1/E1qv -5QpDR0p5VHoseca9SShelX0bgEnUhO5M00QXJHxqU5dmmPmeY4yyZX8EX7xbKxceEWkGdmRArvzR -K7goX+8z2OO35t/DtPAGTMtM9YrN0qjXQtj1pD1/tHUkgrRoaN1CqejkHTQZsO8VgFvlbc8CcFjM -9Xg2AMsW/E0pP/nb39S6Gp2U4LclNiQqcydZnZJRfEh0g9hK4EswCqqgk6MAVqsbf5gP3w0LjBwi -1SOCf5fZ02+5AfkFELh+jwIbB5gO8lm+ce9yvpskErKjZ3IFLZ3s8UBgCWyeuS1w099mOGDKC8VD -kNlL6fHX8OkftBJi3sefR9tJc3XGN/dKZ9KHnyHnqcjnJoTWir77b0T/qO4up4Vse0ewwQqwv/7+ -mL3ujRS0zhoYdPZHcMsIfgUo00QEvM80kVZsdgm7fGqvpxjBZfLCtu5jn6DMe5r6HRWpBgjKxlDz -NoyM2heiTvOvBKpGguPY79j4plSEsO0KwFGknw9ySim2Q99wuHtBc0xu0QqatS0LlszEQd8TF+lY -MRZsk0y73SSEQ/sbHsgysd+3xRFHRE1Vd86tzkbu+P7xWN5g6wZQjisbulMbgSj/E/7+MR8APb3c -tK3g+V2AujKN6GAPk0zwvEF27ykor8A7UUxsBRzgqK+3K7cFm//ELwUCtlyaKntpCvy58CCxPRvq -davo28yYMPN8kla0YbsKT3hcwsBhkRK1zar7IShFU9xvQwnJdwojRZOkMnq39TQoyzBRMBT9+yZe -/NMB2XQMqzny0KRGwymNu6nCTALFA56OZ7Nc+XDk6UqqtLGZ9YraA1nM1ZgAr3M7vEikUtSfVsnX -Qj6n2on7k3sIY0xDN3KIhNRuEPiHDJG79Tb98W3NhC9skzZMc/FFFM+tKds7XQkSRTj/M19ngi+h -Pl9nhBPBOWsWy3CjwHTPYDeqqE7fzfqo9dTGIILyhFB4HwHFLLewaA+cyhXmEBzo0Uz6s01Zqb6H -weMMp/nUHoDJ+JaLAhFjwD8qK5o2MpnxQ+160FKbs4IW66MX46MU08U/UheM5FWAY9CVpbBNZjwh -EiPqy7ujnYftY+rMcxss40G3IQbMysiOx+YR82lddP+Bd7JyqWKJSIuHmZMF0+GQ2Da8GSh+0Ne3 -o/xtqT51bq1emcQyUyS6ZsB9FQKHSPX+p1zlRbUPSTMu3Jng5hm5bV+dC2IsNRiOhOw8MDXEzbHX -5Y7+8bj0UbFSnISwrwlQ+m9r35h5HFI1NeXiZpLhko9iHeYhk9b0fF9//6UJqTEDz45QCS+TpXGV -6f/fgGQsx4C67etFWBOAJWS63sl0izI9wAMZeo1y2AOI/CUKQt05+Lqbzb3uaHzqseEVJE41lc4k -wqXoQALLvHryrN7+fGJ5Ba6w5fp9O6zRaplHeE1lBopChJqds+Njmrkv1+9yiAgi+3CbiLFfyoi8 -pAXAVGuDz5DpUGjPM8gVCJ4LJCv+qlzR7hvxfPtbpu75Stq4GkZE/dXqYkav7vIx1uPUx8Pp5hY4 -KcmGi25WQTZl3Mw6VLuWM5vEetmjHSPMChZCGHTa6c7vgOaSbKLD9Ykc6KMv6hQgnQLFMRPTDSXp -pPEy5Lf8xrkFkpREYRYPng2srRPj3Y5P/k6jvQQGG9qqjk2jNGUKFQpYn0X0gywDjOoGG7sVGK2X -DXmR8N7L8YGOtkfpcdQIk2cOfem0+al2uCAs5sMpmXr7Yqjm27Zbw2RJ4qESKeDV2MYkn2t6JpiL -yXYLzxoUJ1fPVeQNHXE7GfTlhQz5LqNuiP5rOF2K4o38hnzW/1mzobzRC8cXegP+ygIs24EG0zsG -zIIecsLThSN7D+Fr6wF/jnKShG6v7EX895irOWBWpZ8F/89fARybKMqRwByrskN0HdAMC3+Lk8gn -a4/XnQZOHuGgIsw9SSquzylPADu8OmUohhD4xg3rNqgVZ1ywUYCFPFBirxrKDBgx25H4hXVCP+In -O+aSjQgYPRSljcQQc5MyljxF4VKORbNbdqOa+kE08SkFHAT/cfCmX6sc7jdCmE6/YqbFmwVnVChT -NZa2 +gfxioMsHxxmeD20zbY1z4IQnsCn5qdVs+PoubEp0MT269JDLMOYfBL3hyakJoV313GnKIxqKAeMg +EAX4hV3T8Xu5g/DkC+x8AfuQ8uSrgYhagNq16eXhRFMJYLy5TNhyZvz1HWcuCt3HezBRPMu/j9ey +bTe2QRO2L9USa7jH0YhkTBJEg0W+JnqFztncdWZqFQxX+4UAWvs9J5px8G8ytw/nPzue1jwwf7Ge +q2OBMu2y9mekvEjcxyFPzPuA7dOjDCGUid+oz9MyqCIyKswiHbKdH08wVAW+vIRPrrPpShs+ZSZh +JTiCmcc7qrKaCitk4FgcI/QTf+ipHEZV7P3H71hAwjJhLUsf7QfAyu1pkT1te9FpQ6Vc3hMbErz+ +xEW+FZnYElfvigtGij13alGT00Ha615eT6YrN/uL/oGEYYd7SJV5BEzRynqzg2A7F2Gn188MfkyJ +z37rXbkgH20xF6LhzzI1P+rwhHUHZlCoywg2DAkwwvl5Or2iPxJpYf8oQj0+cbgEQuChTgRON6l/ +e46GpTUiqgZeBVRh+OH2CeCT/ECHW9wR4dP/VJhSpxfe+CflnP29fk9tCIYOVgf3jMo0g6OB8gi4 +s7yw1FQ4FcCeGMy/dwcc+jvkuVjdubXAOEEddgtpDG00JzhTy/gXKS+j+0RH0Gpnl6ejyItQ4IS5 +Bh3Ze06kb0euKOBlE1ZVZk53nJASIXqTTN1Xx3nA6hE1wNaErJYEMlvqUTE+kBhsCFr6ACedjFmx +7WbOfO3FpzFRwiAyk5a9D+rszdT+vgKY638luWefS7lTNAQ88L8DAgOGxt8cW0qEjDUjBndAqvC8 ++OuT2RIEWl8PKJgcXEWNvYFh64jdOJisSka1o6at3ktsjfUYdWaftmLYW594j6sDiA7V0uWkUR5H +kyECYuNQbFf4KDdW40Z/QiOQacJSrCFvXa/1u+CcptVOQ3a5DyOIc8U3wucH48Ednh5EzO1Ey/sT +M7XHZ7TjlGehq4H16Sie9JwOfTpkGKZpBQgi9yCnwj6E3C0KTK5wMX0HYmA40hGdcRrxn3OxU453 +fKiQVJunsjdaHarXKNhI+BEjWC4AI/5zU+asLVphtmMlTr9mbb0ennU3mro10Q5Iz/QP+B7QQrTi +6/NL63nzFzlsa68HegUDFa2wJ9+PE9MDUld0HweCLLKHpAEFN8y6G7uNHbT5ZN/8AB+/oARaj58v +1FEpH4+qKtfrIALda4bcpVN2YkEthzXd3IqXXXHZ1Z9YGukyJ8OVapRL+2hr0UXPllntY3473Bn3 +eqtwFs5rTcZ36IoZAEbhkO8jpB/GicxXsivSRit3KpCEPMfUEnMW78e/nCxCTOkoo76CraXX+C2g +i4ESi6PopKMSh74jPHfJeLAy0NjRiVT9RA+2ksv//4QMDkIRt+IzrLmcRwMGwlpevV2PjlKYAkNh +ppqfmCl3PaAOCHz0hTHzy2/5OfelRN//9x0KpnPDfqnqVNuGo0cJMwn34eoYapxLgdUJDq48zsVF +490CILLzjOGpIyTHnR7zWm4hLbe8K6yOxgi92ruGR3wwYz06rNOJ0cpckq1jntTQFubxPnDILBOK +HlExA5SQgezGXNiuYrcf0wcR7jxV3eUimvJluTzPWixIUdvEOILcFMsVpyahSvdMNiPipc4BV9D2 +y0G8k+YJVv71U/oELjDUfb3eGSmUos3VxvwqfnbsQhmFakYJzzwzwMpupI4ffZrxgryvhi5GOC6g +Pgv82prYjYs/Dwwaqhs2633s8HGsZbavzdf/+bb8VdN2b6oybR9vmujewSLFt3a/SDs2PBE3J6wR +Gt5+Dj1B2kMp8swW2Tj80cY8wSOuAKtIXCqukCZrw3Cp2fc4Toics0ok1vVwErDqXabyj6lu4dhr +YqMZaX155n2KFb/6uipXo6WDZJxAeD7I1SZ3See3Voxdim7cTY9hRaOiFiyDNQtipTa13+9jYsdZ +sZb/kR0tk48KRrjXWltdkqsuQfQDzmdxIhTXckAeHEyxvHEYx8d81BXD6XUzr+YrLYJAMaQf2jAA +5T12JaNSD4ccjWoWKek8h07QRsRuCKubGkVO966YPsdi2eKILwQqYSgy05dgHSqtmhs3DaFXznDL +ft4yZDlNKAZWAbRkT7V0w26gXBmV/IberhfIRzH0E+huVDp+L6wKm2JqHBqbBPwZ7OaElGJJMFAw +OgzBBt4Tw0ofYOFXhzc5hGfvJPXpP4CkeOBz901ov8Ap7fHOVQVctMMd+8ogjUPzzmQi+0fwptoq +xYUPdKTsCPcUjQeum00X2Sgof/UldttUJtlnfnFBrXmYkwjtv2DuXtnkgSyL/eWmlCxonqdQU4Uq +dqDedoChMbgrcY4uCZRn2iXafurWur0I2nRuGHztvO7+FH4rrm8lvOzyVwNsEgbfZnEl/nkPk3wN +zaZxuDy7m1E5A8zWZcDmL0doTZ65SyyRA6SD1fbwrAlifhrKGMXnfOcarBxnSPWKeJ1d8TCieqKZ +p0iEP/0fM1ge5qWpphxhcljuLluLJwv57z4Vk01czHBYNVushVyI/vJSiowRshIssU97MSDzYT+x +2qiOGMlQSSn+M+UgaRYqi8TIIlULEJutcuzSNeO0G5d8dM29TfH/T6qbIaius7vNk8+NFkjSUymr +NylSmS/6QfJhVJ+xf6dCoKZbceKiDN+CECZaow4JiA4a3VsjJD+sEN40I5BpGiT/bdNzF5O5kJNl +HjlsmbecoDNE6aihNv3HWP3MLQPsN1Ki49LAx/tVTmHSI9EK7F32OFHspOvPvIBhCRrUjqD9mGNy +he5jW9p0CS1hWkGKGYGXAuqbbL2NUCVh4uyiajF+yXqObYFLnu1BMkvthgUZ4FMp6fqc6Tr6Rmq8 +qpxn+uXNopDjvJfq2ILZOIqoGG7WgEXW91srrRIr7sUT5qRwvmoMplXvzAtZtaa/ixia2ITdxhdP +LtNkpKfAi0gqZFZMMwuKd5C2O5chdjDgMZgkRIFGPK0vgDs+9R6ajZxAYM5nW4xlPPCbgMKQeCO9 +2AP8sqBDYjfuhajbuWmLaAgAXsxkF5+QS7Z3byuJzE6B+J6BdUHPTDganr6+dDqdxEvDL8ROrWuw +VbvUcIMLnoGsR3r3FjzX4nIHuX8ILmBekrI7hJs8URMndCG/j40uoWD7r7ZE5ugTGqo1+rOf5gJq +TZyU0FZe+hnZCckvEFS25qZ8zMIPHqBtcPwMucQosqPSWi20ntgEz7+rqKXhBmChR6Vqi1plFFlr +uRqF8AQvtB99x8ADskIcpX2hy7oxmmk52yRGIRKcEaeFyrhwMIr1Rc69MbStOj5e1IWL0IBn66bH +7fjxU20tIa5rRmUyDNOSwN62zKG6DeIqR7ch1H3CxoXuHkE8pRGOH5bD+kgxGfCA3tYzr85irA5r +DN2Uf52qG6zOArQX4OW/p4pWDvuCU2lB3se8iAYC+clnszmrof8fZZXv4C+z36Ff8yJg64Hap6kU +K2By147GM4rQLVb5dKrF/aTi7VgnBctIixy6rj1jnKi0BYbk1gKVI21ELtXF/mI7v/sT57BANM2m +I40pJCXTnhj8QWSGp53YR1xdh4Z89ZqZnDKU/u352sSQsCJGgQmuQ1NCaiW+FE2OFr/gxrKJJr+P +eSFhGMHwCaXlpCoKg4wVkHNWMuaShRVEyf6NSsGm1mvRIsppCBvwa8hapOcSbRkIMIohLiyJtqiX +IpBoxL5Fe0IkE58sJBTGo4XBs7m2B5zJpKBEAx+d/EqS0f4GV5VAEd9uIIiqYOebmdJxs02NzpJZ +UDKsXh8neNMoE6x3z7EqYEPi3avfQUikoupX6iJvQGAp3cZlSI4O8Qtou6nrUi95VZyn4TCnrSYC ++T0ZNO3xY2uPgH2u95Ref0Pmny0ykFSJF1q6CKvGeV8nXCELkhA0+bfh7Nyi+oPX9PK29yEz0Iwt +PWMHCBi4U7D0jJare6QCQhPltrXzXhvrU6lEygGBRTeP4maoMFvqzptStuX6EZUT9XZYbP+tkscL +l5O0xSh0fMSPbxZFN/HWjewoAyb7EusJJ80ilB7unqywSFobsxyvChH7HobZNatdLl8M8FDaoqlm +LEiiBlYkP9tijdOx61fc68G89lqoOftNEJ+3yGOz7goYMVFCzjhHNpdWOWyEIaPOlpiK3RvruREe +gngrrKLv2obWUJ/cOL8BZ4ck6ZMAo2RLxvPvoq7T2iWuaWmVYsyzHtq6UeJUKRgBLyf1aqvzWax4 +5WVFyMp3UDc4RIyOWuNnp05jz9kEFvJ1yKbH8Fs9U4QZGu8FPVxD3FaLkpm/YxkivYsz+jcwr+xE +fW6Yu05sasf+/l7SKB91kOhHmHRDUCXaOVX/C7kya9YVXqaz/GhCI0/0spCHrki0KKwnndG45Ysv +wYJeOAEHuLZY7EMnzsHhkFDfnLro9X9UjlnrFaa1YxhNnRIBR0h11oHNEyawWWBISX+m6vLnGHR3 +ZuxUDZqXuOUbpW5SW2TWTNo4cWebRAbjBIQtMLMAMA6352DEppw6/9mfhBtlWMNah/ul20UMvEU7 +6+IeK6jOpgJ6NegLsp7KzetGHd0YxPfGScMIykhFNHhN9VPMaZeHtnI/BwrsUiWlXDgSWM+VyCJq +1av9dTK85SjjjhUqhKTqWcjWOGaxr02AgNgvSWmwxCPC9Tgg4iWG8i6nvt3HQHbIwvz+2GKRMCts +iWBlpPGCBM5SUvYUFbpSz3Oz3SkGnPjE6omu7gJF61s+ipRHge7HjbYf/nz6e5y9REsyv7pTVXCa +Jwtn8PZfcS2kmrZD5lKNYU6XgSoXajarKWibnIBvt5L6TfJ/5dCBHV+QWUEMGVvV8tc4Fp0uMVxJ +j5IDESFarcU0j63sOykR6fNdMv7ve62VxlkVljg3cvRQPfaVT7ErqopnSK1gSnQHaeVWriY66RWm +NBs1jnfkswMwJ863S9vp/RRWvNmjNVDmmXcKtZTgZn0vy7WJW45pzYmOJRtYS2SJKqdAmSkGSQcA +3j3iWjyUpHjJJOSXGQ1ZppEJMRy+Js4ngyMtfB1wjmTdYz6fW1EIV17QvsDeLPq18uLfduSjGxsu +5VyXSbjRIby8zuy5Z85tJafaWDrvYx8XM9n9v5IvUSlKxdd6f+Nx70md5WxNifAmv4aapeFJ3hub +NXf27LmgwwxO9EOeTaVH6Csu1ZqbKI16R+Ss5aMqU0G89+V3PAVBtxHRiKRtMsI5+f/WyBrxO2KG +VrMxXEDo9q2Z0PLU+VYnsXTao/jfevIb3cu402Tmx50exhwwsXjgRVauSKQeHr0elJkxUK4sB80O +dglr/v+l6d6cFfoh0844tocsCqZLu1ivoRCoTQc/awXm343ZSsmh/gmiKvNplSdOSpULXl70Rima +9Hr8ZzhcPIcV+cx/hpZfLdD0ePXaGrmcm+gclVm1tI22okGqm9+W0kYBWpFixHHE6yc+vWm/sN/B +GY5GsOC5hrcrOQHBYv2qBSw19n/b4NgJkqHjscmwyV+z64T8nlhJEQ1sdAMxUSaFF7qiGh59RROl +jhDBij3jkHKcVh6VFfmGbk7PjTCHpajgsLNumTmzPenXmvPXjc+/UbceHezP8AfXjWU/oxYfJkDn +qVzizJoqdAieZQ/am+UQEjhDthsKqIFbwQMEyC9brgNSj5oO8MfZpJ6oP42QiiIToRxxGwXNC2SA +Z7fYv6eSoAJm4IP8VwFWaZMGl+bZ64yxGtua70nm8Ms6Er5c/3pNWVfhgWJbe1hMnyhusI/VNJKP +qmbAHIycyvHg8kXQXq0JL6n8BiR+f80Q0KVDDXKUSiN3iu+jraIV1915lTi1m1o8F7tAyPu5kOZp +VdPeVh2U1F/L0h7zBvZ3DhgNwiiqKBQJvnWZspuoqKKkng/+uwU56JfMZanE47yM2hzSE0scWxy6 +FWAGFykPLY6HtAGe8/e7Jf6iQK3W8OUQjcSSsIUbPW1B/2C/7AuNJOYEtN0iwRNr00iHcGRiVxi/ +36EyOOIMKpZg7LmZcnYmBvHUD57axBA7em6gaHaHStXaR2vR0Vq9Di7Og6g3yWJSrmltLYiaS+WM +QZoE307204/HlCa/kbepbKrqHmGacgUmi+/IxNCCNVmzijE2adHOFA8TRvC8oh/jjcWgA3qs3QNQ +aBPMN3jNS6e9MC6ktNf0Gm8MMfngNHV4F6iW0YXlzGoZ6qSR5fTrXHa44E/zxsjWn55rmzbIlPSB +vIjhvw9/wj9PunM7g0eAwC6tn/Sczsxy9pd40+nQTiL5CeCcBW1SxObPwey5+kIOGdURlg+3DhJc +r5f97GjhjzT1zlk+HUoyBzva77eGYnnHFzC6TkbwhfGrjbhbX46F8plHElBy0DghWO75rDOK5ZjP +1qGlzTQRM4yCISrkq+BHCAxUd92o/yOBxv4G/dyJcscp6nFu/AETqKSRsyGvhWG7UzVlZ0tfB8md +ovE1ZjuCwrxrPN9sGatUTZhzJ1asqReSzYlhz1xYdETbD5eWxubCFDvTZgUH9N6DPxmlAohX5C8Z +xx3MkddkvMt2TvLMARn/rhucBVIBYVLKFAacBbk18m4bWEGrtzf3aM6yqHBgmUjYdAcRPRrv1RU+ +nD0OJaa32NfbvGQhi8qzkqQiC+UNARbVxRo3iTPqSO6k3VIq3dP/agjh/0f4H61zdyRCirDtuQO7 +iWfmGT3VAMOHzzH6BPUfwX5kNThRPy/0IacmEwpB01WY124dyBhr9i0Z0Le5+ghWYQbazwMvDP6r +gz4eTuuYzA2gnXsv8UlLR8HBcXCkUypPx8hIEZpTuMI6jVHIJNhcjS0tkvHITAFmXY2noSYOXsba +z/cuNueH35PgQx/X19SgL+n0wfMJ59KJaz88C+0EZuP5cY6qly0z8AcECv4tlVVp1U9qC097jS83 +juuRSsKYhT2Y5b0PAugQBKElg8DwrXGYDUMGX90QAbjNIeOqM5PLsvoY1nktiTAXjebEtKNZRegF +F7MSsSYIEyysd45kcZ1Yk/KAN3LyNh+4MyRsJU7LhdiaProQB8gx/j/RTW8XV9zR6CsJ9nbIuKSA +ieRCbOop1QBaN9FGgSVuAhxLx6QU1CfGQeA2mbSPSgxFZcRRo9fv2uEzTRbjxib+toPrLjSF4tPc +ZZvM/oafm8BKm317cmjhzfSe0X/WV5LiHv6yXfXS2IFWZ/oKpGUULms6Bm0f0xop7kdYDB+Fme7J +b8CnlbXlkwq5IUogvQbiaQdKyJZRqrxQ3gDTK63xqBjFYXzxoWYgAW7O1fdOJXX5KcVrNzkEafhK +5Jgi1KE+alSifmEwqGeBXt4+6kDum47U7uhxXYUYcgKevoPLehQittORHSW7Wq/UAjqmcx8XmbW4 +52PVS2ITxWI803ku/IO6ME4HN7iSzhAlbbt5eiQB0Rz7K64wMaPufT9BjUZja/uqVAb2W3pToqyG +Qd7KJNCs/DmKURg7nUfqcKFB5hETfs45lQMlm3cCjI+niCPNp+ZTM6U4YRtWnumEzXR45Rla7o6F +/vKj18LLLkM3xKFb3LkRZt+rkY6C6Ypp2j8+K4FWyiUHEOr1u5h0QRs5vdbM9BJ9ZTerCuyYIzOD +zzYYOVNOoeDbfjxHwszUHUJJtK7D9h/tPghfw07CG1AYe3L0Bu9Idq4O4/Omo0rfOdulcUBbaNzn +8kOozA+N0eG6eENGx1tQrZMOYqr9TRlBv7v4pqaT4kCHqgc44PupojxylncG1CMB+d6Kuk4uGVR3 +fbTWvGJB9CUpm5mUr93knoRGB2hdesK2eUFhouYKuvMMC2/5gucmyJcwPF8C5VX9aDH01N/7Q7dR +o5tHNsZZTVyxg1YFvlQaO/4rhrqR6bLFru2NmN7cVAlCJTkBA3Kx9JW3Xgi6GPMVivGrWR/yYlx/ +18mDg+lGixLOI587AqUhhS+JuvW9GX69y03g7cu3N57yf0K+VvqZe+s7BLSVnLbPVhObcs3jU/F/ +YiTWkxpZrXK8gtpl5a3aV6zuoRl0xkpesOCTUndJiJHzcToiRU6PImSoUqNTXEQHl/6oStmP9zDy +ECodKRgnIJrzRTJiL5hPC33GFcZMJBGxbs4/4Pg5lK1IqSNhBvrq4E7OzRPKibzWAW6WKan0BYbe +rw+FptEeLX3xISe6lLERZIp3UpNJb7Qf+aaCBmkXRqxa65b0e85iYOxk8jBe5SMFcLvimUTQ9vbe ++mCFlnaXpI+fz2dpTFIGPj7uQQ8/xrZx6hqZWuuwWJfmELCmle/ySQVzIeQRW/wIrk7+6RWNzRoP +fTPpxdXLig7kTuvrEYhmLWLH5GzPKoURHxyB8Hz9cen07VkOrSyiUgbqbEPZLDLsybEbgls34ies +LSnF6j7aUDvJl/MUjwVkAOiuh89ZBvGlQXEHVLpJCoM7Yuia/QsT90VrFOTgYHMtf+4s9qiEoLXT +Vpb7mD+H92OlmxTZT7lF2Q11FkE/GrNk02SGxyEMxiHf8w0Fu9il4omxYb7YzHO1x6Kt5eVcxR7S +F8yaeWY3ya5xcKyDheq3Xtbu81BhgQ98DD8h1udI1Dlxg6B5xleJN9UZ/XXPYc5+VGWq9Prf79L1 ++jjpjghLO23APtZ+MSuJcYqgbWqe8WVwS0gyNzYZS/nxS6tcKGS+wvMtmLySdt9WXnJV4chCmvgl +lrHmktb4hDUUiumQQ4rz+FLn/RyfPkOteoeMojrxXNpMFhPvZYbyDnv85fewSw4k6MqwC33QJ4Ex +Oq32hQ/w4/0u/28/e7sIE9nEp23opAl/B9h/f9ZD4vjpU2MdrDt5CSap6SCvvBLJr2BO7OAwVdUt +yFPLQhFfkBpKIxa9BE5RxC+oiVckMv0B7WJMYfb11lOb5h+OrNyESv0UUv+DvJmJ9vK3bMrhyLaj +IGQFcLRT7BJAitce+bk7M1N6gxQt+JGz97iRNcb4q8JrDKx6+sZuNPR1Snel2HngcoKF3aekdNn6 +PpI+HuC65FFOsmdj0dktePhDCll0WDdwG2zBFvVJvsMTOvg1uJXR7Ov3w9+pxFkviTHXq/wAxUFT +yhh+e/j2+FjVusGSpJ+vQmTVGwk9KXv4CEiYpekKR0h2VfZbb1urlfFye1KGgf/bBXqqVXgXWIGq +aUuXAYzsq6jpAU7pAH4F3rqtRi1uZNzNjvClBXiP10W+MmgVPcqnTBAArdmQbUviMS6I6YQNJaEU ++b2m1/+HJu9NUexVgwPjVa/RFAcLITyxoidaQRGNjAbo00RGs58T5bXb3KqFhXvUeJqOtCvZZIVH +6JCqK05tgeIgfa9CcghS2oKmlnSh45wgsUiDG3W4yAWcMk6joQU3WRtJVWRYWRvj9B9VOFVa5J7x +z3gYlNUv8G0p1lAQnSEOyYvdcioJlYa1Mq5uazU+vABbHwWbsSwthfkcrtMYldvbnDUrF9yNZaUy +uWo4oLJI7UcZQX/S5C1aDFNsjGut63nU4d7CZGAVxDwFM60/8u9aFH6PruzFoCi/QV4xRmzCcQQ6 +GFjR9IbhRB46zv3PZmZgG3bplLTtajpJANCQzkjPxVZ82v8nvCUjgo8wG5gEWzADjvJacziZIrhp +0ACm/ixbdqtu5IHcOG3jrdIODi2UHV4wn9IN+i9S/52BqgPAtUihHz7qxgWHZtZiBHDbPk0990+4 +Ly9LTme/oEh1j8gb4fRIpGS0/sgmHcmVAMMPmYPv1hKStwelovHraiagcRieOphEqdZGb+3ruEim +R4YuJK3n2cSjNVROdSHVFkbUrHmrJCX46iLWMu/+LgoHW40xEoLaym8Jjjx1U6dc69neIgwjvffh +yTxBq4pM+437/ui/APJuU2nz7khkUae2o+i0OSte6RdQ2IRVFmM3pk4xtbVillJ39WTskWAmQCx3 +bp1tpQ+YaE1bX8YL9qyDrdDRSWFyzyUvuCGfCsRk9BtCHtuN4SMI4uerCIfSzNrNH8mdxKMyqE+i +rAJS612az+72kBuy++nh+iNDhMz37IWXObwE27S39GVZOn85fpHmbdIMyoRas+vxIBeB7MoXHXPC +zvoqwZHFMYsnIpC6cPS5A2NJQJDdvz+QX9rRi/1bc4LqNGM4ZAFzNtYvgaQlHSdQzmza+8LGTtlg +EEFFZfsIS4eVBX5OaR7FakMKMtJaZTt903J+7Y8bXifZKIhe3U0CS1p2Kqs1L5tFdrPhKmeqPEQj +Bevn4bbyKMOl7nmO2Byf+LVNt4IdGBawAafVHExq2RbbU++/5p5ysffNZhTp7vMPTuO/HQnYfesh +SkNMC7vK4B1OkWLBBS9ba6ELNYzX3ukWPDkRJ37Sm1UbjF/zgF+gtnybpAPSqx58gK4DLLe2q86J +EuhNBFy9BWP/6oSrQsWhqwSNYZO8eUe9lXi1bCajwhMwJv1d6l5VHnho4T4LL+x2BikDRtX9qMCk +KwfSRk5lxV3M5NfPJtxfGp5WtmVfqS3QRhAdeqAlbLzf9qVWw6hzMxZAZbLyQdEgibcrSSLFQJSm +klXHW+Xf7CGxdbwkS/WqaNBOafzETrkJsM2m9CVgE8c873L1fORv3yeuInDYGrS+LxXl1LwoNBHP +GZ1UnY0Q2NpC9YJSCF9tCXJB1VErSDPbUEkDJUBY+cTJR+Dn7zcgbVwkiOTkORLjt5Y3GclsjcK7 +kbi0NThArHMf//R4s13M50lRC4BF4XNh7ISLjNcPwJ0l+QLKQoZUpZneT3b/iSBki5xWddQdJpdX +8F9QDSa8LAGJlaud+q00BzcatfjPzJJ4ebw3XYWi3GDlKCTzIYMpESA8KM9NOYgwbVUD3ie8b5oH +aASP1IGNtYVJbSdXWvScR3uRDh1wW1agoKW1KHAa0UsF4VL/pIhlZGeRltDOhWGFfn/MRJbYgqA0 +GlJth8CmQeScaz1ycWm49HPdgrMxMv/cFJT0teMVxSNXVtp751D+DLu+qZFJLShFnFAiZ9HoccKd +CboX2rvpf2B3RWZrimoTcN+sHFZY153K9KOCDpFbvlKoLWDPjWpGqvbVU84jiKPyLHGogNdBscxL +elF9lwYzbl+QKZtuiYc+9rS/AyeXcICqpx2I6t4qS3hoxN8BsIOQNZguxaQAZc6OTQ+gFBxKHr2o +FEAxhXquwjSQ7vIOimAwiqVoc343dlp98E6x2ZPNA+06XbxTdoVRKveMdjBTeEhQ2gCT9mcoi6rJ +DLV07tch6UCBOQDtUZBWwRw8tvclu6wz8N/bR/CXSs8fKakyYh89Q3SfdX/MHFiTUIDY50HJkYqe +Mpu1YfemNv/Y1p/G0i+ct2XpqbWXN+DrU45+16pbV64NgHuNy6Wex/FCs6HMROLtcttiSYlaGrNp +nQct6ej726a7mhvQ64f3Q9MFKc16xnuNy8R2Ddr8Cm8Jp2KtArB4Jgg/FxeEgIC5kYfJ83NHdGp8 +nSkfWivntjIxuDWR3VtoeWRZSq40YPbrb3Kcojr09qIFsWjGwur+57eqsdhwoe5iaBQVbDg7oEQg +09TMEvUFlZnIc44z8Q5WdCuH4eNw/Ve+2+ISxobT7JyU1IrVFFPH7Pu4l2+HOlcU/vc7ekKhQczY +3z2W/ph0dBDfTxjTCXgTY4h+AHyAfTf6tVqsuPhKD7VM/Mk27QmPq0befp5ecN+7pXDkAFiywjEa +ko0DC6N6Q1WN2fyORTkxOEpR8osXgI/MjywGFDKwt1dNYBwfHkhvgm2jsKI1PuunswN9WVzBctCI +VnZBFjF42XOIAl09EGJvxS/rkww5P1uKsh4IqdFwkV/ZamsIw0oa5TrkqL0UdHmq0h4JA1dk9Cpd +quWyDatIrLEaTMPetyj1H5tdZR4qqrY7iI23/WvTI74VmFX+vN+/44tePWYSWY6OV765pJQ7iKU0 +/VGcBUVHIpzJ6oU7FOawZdv6A/G9yU1GnAbKBIdLAOwm4u+L6VEXktTk+0gY7Ew7odSN9Y6Ugn4N +0zmEys5jGLeerEXw0Q4w0wijv8ravLvubr6i59iH+d5yo9o3VJx4M6WsyVsLLFWvTTGK2qhPOQ4d +yJD44TDiNYtz8Ksd2Dz0y4HnVgh/e0EgbGDmsWhyfFaSnNnIRoEruo/ETvXAhdKEabbMh4wy74pc +9WbgUXVv/RWuUOyx4DlQe59TvK1IiRb1x+JuVysvLZI952w5xLFZSLn4BF5u3mQAUE4YYZDfJZL7 +xmOwTAG0aEQlqzsFCB3XobLMKcd7VQsywB+JY2gM/9CPUeVvbcAJvZmLzDBdJmz9zf1R5mA2Ckgh +zuBqIplv2fV3Sj5C2Y8NLmjWtYqEkyR0O4Yl7tOxxtlYb7FwcnHb+QZJdbmJ787aPGPPpRBPIbdH +/tWBOj+5VFA1rJwS712gX70Zt2OO4nFmp9QffF73WPD/gfaM5cupAfIX81av9GDh+FXn7BqZSD8e +mVuVooSx5flgja2X3Gs3dDynLjmidtrW8K/rUKTb8xt3Q3KbVPvMLb0xDTb63ntoRlH59R2G0nE+ +J0rpCgCi9JOgQH4lnaY2oM8a5/JvapbPwBFMJhuYDzjGOZ2ALINBHnTXZ3Soa40QNAKSIjALHYb7 +sLAeU+ki/GQJAT0cngMRQyCN7OKwG+TuYnILr5zSIeNYiH2xkRghvCPNE5JaihD8kVmJJBrgLiCJ +5hN6WnVW3NkPNlJ2Q/bN1IBhQKSbAI3LAcAaIRwCrDJmRmq3fQDIMI4yE3iBnLB4Qy2WbDEvO8v+ +FyViyEZ1MEOoDmUXtQ53KcjKZTsM1/zAUIBxrppuTTomCFEsvkNyaQVS84AzQXOvg2uHiviiXJzF +6ya2IVfZDH8NGwVcC7nZ2jdov6XEGm7DY2ns1oMLdwZEB1au7Q7D+AYLNjKLjCTSIwWbxAzC+5sr +T2UO26HEpE4SW6HMPJwvqhsOcgtoSW+ezuzpZIn6lONsJItWyQ01a/ubBybKzUeM0Q8crBoX8j2I +PMR+WrCyqnGAA4fPCWGExdM7zTPMf0En/hJh9RdL5tQ+1SRqRHXVXeWE/RMErbL6DCEFJ/+awPix +m6hKqDd904NkUDwBvNIejgFIkPB7LklBQBa3C+ljCBzIWhW1Y3Zyr86AG9Gbd0Qg7LxWK+dpC9cB +yVVUYbS2btedy1RWRHLys9Zp9rjDH6axxq0EiO2YoSh9uEVLPCxPaJQAjzsLM1xQqbe85r1haO08 +RupvS6SCHGZur/UaB+tTDs7XUURRM0LaTUy5X8jcZ/FPB3Fz1qKrBIu71nKuP0iun6ezhxil2K3k +ySKjXjKTPIgEtawZrt62H2MdA59BwnX4GdKnosUnnv+WJb6d9liI0orJaDnhrAKtub04JExjLxGI +06vOrk5usSMIQwV8gRaXT/FdXwoj5KHO3d65lkREjpZXqz5iACO4SHZ+w4wad+zievUD0Ksna7Sm +m2be+QWzizBW2XZODVkEYYtrjkrhlmMFDYKXZRB/6BZNA3+StUp/iuPnSfWJQQll0YL/nke9Je5x +w3rtrV+J6XJr41+6viopicqucih1jOYtfMpIS6/xMXsC9aGq3NsS6mdI8RiMTntR+ZoqyeVBryKQ +A7ApCAOdauUCpPpKUsFTIYs3Vm1cAZQAvh3kNUwQkme9u6g9U1T0SwdmmpxqoZIMA7075xSLnq8/ +UVQO3yCZRP68JCozNjfFUYl8IYNqJw5RUiyzUN/PXNXu1gm/y/xnQP7FAIlZQ0fHoN1Jy/WfP04N +XNR/nA+hTBC5Q1fpmui0hQNuNOdKb1jbR+enQmBWZ5a7qiDnR36gJIwu2cF+14h3eXvm/9OSLX6K +46Sg9pwmlGDhsfd7Gz4hh5ofB549uy8Nb2ign3sSd8SrPRr/JUXklWqhRZJivI6gkAXmROz2P2bD +QqGfITulvz4EVbuaH7yPa0yGAGEuKe0aDjrxKdkeUNFVqsQ34Mbb0qMKSob3VsIRXEV2jvxnnTU7 +B849sFJL0/pRlaLs07oxHJNXDCnORr5W5a0P7957Rb9A8ZONSK+25fiurnHtqUEQS4Ye+imfuLqa +LBpa3GzSIQ335eVNPkpbf831smqXEpHjFzINb/4RzCEe8NPxSPAo0dJxyB140VhWAG2V9R/TNZP+ +BaFEevfkmrJQGfMrMMBwOTGBLsLOIatQGpVnQ3UuUsQ2CHGerx5+z7vdOnbJsNmiZF1uA4sOQlIt +FTYW/CsVYNEX75pJYXpT6yj18TAJSdaJd3DE72XvsVqnHOrTqkVPqpYY3N4wK4wOtoCA1LsU2f9v +EpwF9bMhPUUJoe4kzxgc18xrJI7HlOv7LaDInCf/HtMBhtmnTbozvrU+ayjwnWff9vpYNBMfUzHN +e1FxnM610jsa+vGEgFtFDA98lLcy9hByzMANVOYVCiAk2dzJtjyljNjJXgcehcUEBNgRdI13Cpss +C2x3wCrQ7Pu+w8Q9OrYW8BOBA2xXRIBRuavXKsPDOxykBzcW47ct6yOyDTsviQLaVcNSsKBD5zGr +q1CTC0HRXfTZlxUZ4/znKHSa0KkBlW1IgCfRyCCevKAV+wFoSXHeqbBFGdtaU/fkVLuqojfXq4o9 ++mFrMhnOLSkY7IXoLDhunhhZHvzM/46tSnRN7KqShOD1HKESnO+S4MZGdEoORU8kq1ZqjuywoNd2 +78ddytI6L3DA4tV/wl3D3qMBXlIlQEX5T49ChmGLAZa8WcMOqoCGwHcn89dRDHJ3ByUvcy+/lBH7 +WEkOldMDQYGGOmQVT9bunurPM/Tq9ss/HuylUGW/URd1SirD6HaGDBRlMJOQU1XvkCytsOv34wM5 +NsmqYeLWEdq/6ZBnZpyG0pUdQ4oVUkbroFHlWYC/AR8wUHICUr0FPMCzrPGvpfheHyIYtWOEpW3P +WAg/8ozzMNDRjhmHCk2+RAPEBtCpt9zR8VPlbh7+qw1tkQtiErDaHb2U0WHZVqH0laF1F3L98G0z +1nP8ohnhYZ8qfvadWf+GXrqi8NKvyNyZncZ108bUAE2RVfieLJSQ6KrW6FX07Ow7UvBC/ejCRrKp +0zIM5VuV9I9YwK6YZDdww0u+FLe2zxHHKYBzIDjw9HN+wHfmdCFkSiAlRKT+Anvq2ncQqA/objjg +BsdpREf3qNXoF6S5tMmtipTzBz3Yixq3joZXrr5LSabhuzvxen/bbR7YKo7Il3/2rGDyRnj2vgme +NnVdOyZKteSlZGyZY750zoEPJAcTe+VemCG89cX4k2UBhY8kFZpPyhpTQ8xPXlqmZds/r+YqE4yu +QsHh/jGoBMR3eERpuHQozGRiC5wvaoeWuXczB5yZ3dv0EV94YBonxPu/Jx6fJTuRJcDkzTpOGQQE +kBXKQp+rgB9v/xnPdOLE151LRX9wxGr+GC+woyHt+B4rl+lk83a2LYu/xwOyFWZo/oxMFvSGkFLQ +etorIbD/TM1gi2qdE3WS5IUrnSSAOEhv0CUuB/HtyW9EzXTRSZOmbUFFzJieUXTJyOkc9iRrKSUW +olll1pbfpAEux3+dLxjvH352OVQSlvp1JVHOu19SjsqSyY6zlcyNhf8W0gLgQLuxmeiP96PwV+G6 +f84aAT/JIw3zxmf1AH2UasJcybDDCNMhXy9wNFv8t4bqVUTlTfEIhzPBKyrmXrVFw02WAgjVS+u5 +vFQ9OSPDcdO5Kgz/81m5nf7XxsKyJXqclGWNQzbpK7WCe1ay5XW08k7dQrTkuc0RyhJr3Ir6kgWX +ziSYyhivJg9obdTyPAolIKCeE1PghgOhuxBE57F0axUTvhVCa4+SVlSGAW2NRUBHuNKWwu1FfHZI +qc8SM0P0xPDx4r4hxvia54aXzKLp6fzUaK3eoRYvoJo+hvwmUYOyPy3In5s2UdzJYKwXvusYqw62 +JrCfRdbIJwgk4es1xCgKIBfk3s5GcN+66296hXGwz6e3xsp/SN8oaBEIHFN1FajTXLmFKuJxhLSK +HZUs/OKRcP7F6hopeJVDcTzcrDO/mxGBuaQu5JEgo4YmH29RUH5Doyzw2PsO45VITKig76klBsAx +TAW/SaWaqzY6cMnGxiGwWuej3zfjzbPt9WX8PCHUGqAzTG2HqhcQpaJuvARMti9DKvdKKHec/Pp2 +1BOxsWimFwmSJZd/Fie0mZfhbNg6IDNUKICu4FS4eW6UooAfVSN5dSSoqYXVQnBnclYYZKckDgFo +SrR2DY/+HB6h7B7ePqvfFnXQvmMro1ubspBuFZaHuzeJ8vfITke4gngAw6W7HiLzW9NEGLwx5Rng +r7Av3PFXPiS1NSqxMut8knZhK4cnjrVWrJxX0gKJRlDlmDyXxYC9LoFamcpWODUNBLvZcjWql+Hy +1S5wVk9SFRo8CM2R1uu/gBaZNoQJfnpw6lWCv0su8Fmp5t+9IS/TAQzV4tFiqDn3L2x/Jwre0b3W +Rs6u0D3o0u6N8AJ0K/wMJ0tnU0jHF2im0EBWaH7ZgjB6bqEIHpyf+c2X8lTQk3yMOVZ+5sA8xRP3 +TsSWTQ++bHFlOybdk1PQpuSnEo0X7n1x1Yh4aXRHzkg8sF8B73F8YzoIojINh46jK0MSUQRMJP3a +H+tKgCcYhSvKvQDJPHN5w698Dn84I9lgK/RG0rnuvFZCOfp21tmI2O3t9Y+DLEy6bJMtdBC/ynSP +6uqT1Kddhc4bKyvrPoUb6h1XgU6E1bTbMuvRKqxGl5kjlKaKNa6pj8ZBfUJc5cjnToJpixDNW8YP +EmO68pmdiqzSclmDKg5Fygx8wsxFZbi3Irhc4DUcpSKcJ2er4XTuTWczpFDz0/y1s2+PWsmii16M +6WraqNUeAOXbl42L2p+Vi3Qa/aaFWRKOZ6MdfgCV2demx7tTXrQHWRaXDYI6Hj0ULTo7fd1ec8vU +5RQOWT6/uG0lr+EJ8CI0gzT42dWdSEwtLR+CAMuBhIocUfrb3NgOPqQMNwW6QEmD8EyCZSpGgX7P +4wadELlDmSkQRUqw//ygeUMY9iSbDo1LNV1AywZSp6WKRbg0tC/HZtKIKVcn5iCzRNg1/8nocpYr +A87P5qd1LoToO0qqcJXLRQr/XLzXQ6aMWzVy24AkTpIcpkKKBfkdojieJ2bckAIpWyWnD9UE3cs8 +2iPL4KCtY7TxCf/IGxVKwetwN/4HxDlb1T4vAcvPM2t3yQHL40CbVuRi/P9i+JIZxpy81wrdvP7h +IyJKakilTZFqUUzB8enhMS01J1PrbqmsAQcU+Gn+AUyCg8LKz7iv8GQ5x8AhVSTbi+CwkZOcvu5G +Uvuu8y18et8p2tdxCz0a02Nv4fdGfzix0plWsMzPiwJc6vjVtEw4kzGivqHEIxhv/ys3+oJ5CuAD +Zvtc49e8ZIXoy9kbPH/F/7m28oB7svPrJlcgqrDV5tZYJJuvIbuHiHhgxlE0GmOAsniw1XTxkexd +pXFrPbx7zuvoILi7kOyrE8p4RA6ra0Han5HSQtd3WeDu39QBTpxBrxDN27ClC6OaR4SVUxre7EEG +NflwcXJeo1dISj3f6FiKr47t0b2SKXpTSaRyTl/KdliA5okXCHbGBOxUAYk92Mz1qQgu77myP5uY +ZYxj+eEMPVFDiBx7nljT7Ynoi827WiXvv45+TP93o2ZOVpU/Wz6nrWBfqd/XH/SPA2aPgR6D/MZo +PnfrEB9WGmNNI/BpixW4tFmIwmPUVEzBPpNOSOKmo7vvuOTYmLYupUbzon/mlL5zuTSP62d2Cn0V +8gpX2S9p+Hw7AOdzz/KAuJQKDYJnB1huqtYjbth/booLOBN3dS50UEYJXmyZEfw3FJhvUxvOtYer +7KtYVSqxDFy3oX+ZXd/m7tRhsGkwa1xBoL4x68jo6cyHyezPbCNyuepnXWkNd/w23eA+W1ZpRd2B +ZM3Rj4wQl4XXLofL9RRWrCqwmpiVl/tIxYdCYy1t0WqfwYUUyZocQ5jllFZQq0hQxCrBcxAtptMu +11gCVBMX5fXbsOZbkwuXcBf4XOYOryBJ3GG5rTPZfhqMRBtHee2i38awKiFKkiw8BxKks92dW7Zt +E9IjF248YovoWTWejEmVLOpx8SEZF3NU/yo2tT4eKUplpSjt7hinD3OFN49GF0yu8ZMLxFmujLhq +43c5ZiWwqGZCb9XEJV/xxannRQAN2JnCixm34eewpoNcTPy7s4rEM/L48InCwqnl24BvrWkotXzI +1LztdaZqtiMw2zJ2Kf4iOOBdIZBpc4fQhXYOxj9jhRaGG6wheY80bW9AWdC2kOisY7kBWCM4oSiC +RmEcgmK6sLxPrAP058QbV7hDhlSOPEzUmVyZRXx9gMU8vknP+vKO1dSUlzpXaddkJAlWWcOSVK1e +0UqVQ+00wwFvzCYA1nQBG/kLZLLLxIVipryZ+px0DPgrvrYX4CCMLBo1AEn0zRRdeHlK2/ygtgqa +UUWhk/8zvssY3KZXHDCY+ljZUMp/Qu+XFYiaLNuQst8Uzrsj8eLC+MlqcSWLB1sNITb7mumdTcYh +C2nsotBigZGv7FTLiQXLiV8Kuh3+Li+C0Dnx26AfX3MJzvzF+w4WnhueS00owqIOU2NupKq07bET +42NPEJD7AUU+Ee3hAql7rGGComtNnVOcjRGnKVkSScFHzGxzozFr8OPTg2e+VhEhusDQxXfCwjmq +bneyp10WOyKjTH8s40kHFW2DmP2lEKU2kdZJX51VUji4tJBipsdyFwNCko4nH95q6phJljMeu5nW +Zwti8X6QFjREnCLzS4aD8qKItSGgVaRBaItsI/2fOLujKWrFKYxrdFgj3EEYxJGHoTJAcxCxXgNA +a5xovLEmzlngFlCmh3j4LrTfq+pcWifQkWNHrBqlmVzfgfNp7uMXwFkPOGKPwl/PYM0NnqXxauEM +8vk02uL3ItSkh7llw5tbhqF7EpR6x6SZUUcE7pfn2l9yYKUP6tMYZYAFFR1VMpKbTPq7uK3jgpNY +mcjK6IVys9xtAHLhoVAdtmvxpeh2Se0bCqV+PvdHag8/G8zDmcMK+v1yT3a9Dk1pa/pjvNGslZIe +5hLzZT7hidqLsGHdzuwBFZ22QRmFYIrfDAewFQlsUUQvD7OO6BP+qNXihp+dxLp8SFy0aq1WtXA9 +HdJZW1luqtlzMxGRhTqnwxgJ4SQjjdj5Eo+lf+ES0njNYwz9LYrKW/FbgHqlhgiZjt43sT1PJnYp +nTMte6KZG6A6IFbD3Xmhl8ewVRrQ7/p70cjgq4MlNjkgBgAtfPzBqfFEy6/OUwdv1b62ylOuperl +jhpURyX5KjX77EdOmLtKF8qULkgT+kBXHYWVbbC21RjuRC5xVevuf4vC6cjaE8g+Q7JJSaoGBx4+ +upA3Do7q1N9uZwntgh7uThKVSdD/JzsQ7mLyWnsjWe/ab5crae59n7o/6RelQbH4h6SItLKRtZ/Y +PcfgVylwsHWvHDL5lEuVe8bftdeY/ZQZr2Izuy1LCPZDUhIL5KSkWRUTqL/xUd+vWYSHxU3W6M/2 +vO3UeAcClZKPnHrWQIgjLilXvBfxUzX9Elpd7KSnSmU6FBSL5nIQdzyizViGCttrjdaZU+haA02C +cHA1Ei0057GmIIWoa6BPK5+t3xneBWBnTDyMkpotMkdaUXu4twRmQ4p8prJ+nZlr7kZLRwdofZbc +F4ZJVGXoYx9TvaAwpSrIiBI5xKgLr58jFA9siZgKgCFWoChka+G/8ZqUnpA3DgEFlRo72VjtgP8v +wsOlQnWbSEgSZWMZxoxghKL1HvThKG94s2oXo0be4LEF6UidJbcgMi8uhKnYHX8s4g0WWimkRD1R +9KU9H1e+ntGBKoGrfR2//x9imleK7Phla6Q9/IHRqhSCu5fWtzNC76+8+UBwf24C7JjIbilQLe6A +JDWaKqk9+maxRQb975gZRBt/wWWMAD+2KJ7xLFTCFW4zUGEbAJ7zZmhrzNDah4vS3aKNZAIG92Yq +40A3g8mAwIL0NcJExm/Zr6+Y9QAwMpBoJ8scg5XoegUEZBdkbZOjCK7pf/AIhFQ7O8A0pkGttszv +wNHpgKq1fMtIgQAloALwWHgerAgEoMAAeaT+XTZPxW2kfkJxfseNXCLAnYs/A0XKf4OwVeSdpuTH +IS3F5gbpIM14WLIwOJwhnMSlqlxpmP1+Wf0rsY9rM+1h1e5J1renmlskvQXzjqpPcx11aKhrQV5O +sqOtc9yJvfPNFDWtCjmAahWuMIL9n13RXBejgVLN8MTAE4e9raBRxtI7z+oE4FYmIQ/DU2P0+bey +j16nrH3OhYhirh6nCi71XG5oA5tBe0OHK9xwyiqwK2IEVsLLsCy8i9FsEr26BM5GNqdChngdeDD0 +OP6MQQ89lVKPuK/DJ4zK2uiPc6q59UFIZKelMddNvxkXGvpZY7yhyn2pfQoJPfLOK8E+xotlHx4A +dBxSC5BbDzuKDazlPjkKOcGdXXzM/3cAsay0V4PUxrrR2jtGgnMNF29sq8B5/uXi/DiJ1do/qCan +HSA6KWzZGfJHqvXS42U5nQvmFetejW+xiIiUUVeNVTkO8zCBMe5N8DPcJ9kOFcmMFy/M/YtagVtY +XKk9ywqUSzCu17sujlxnYY3uqtO+lj+MueRjB3TE09N6o97G5LV1b+G4TTSlQQqclM9cc7AIsTjk +4y03W6CVcHQ5i7ZzOcokm2t/2sjxJToh8Tj9CQaEQ3Uz4dLXbLl9IpfbZ/od+W9FzywRR5xR8fQ1 +zEkE8lijq3ra79ZGT+RoWTajRczPSmSPfgIB9Irk2zEMq9Cz9DRVnCmP3D1QWvOTETkl7h1zuC92 +i0lgF9cNSol94odJUwWMGZbU4OAm+MfJgHxkHjZ3t05C3dVdkL5C4rwAbkfOT04M62wJiECL9sbN +85ku1gPpBxE/rLophSI7G6WTcZ4RtQTNEAjfxrKgelu7ebHPyrFqLWXAOizeyq5MWl8LPjhpfiWI +z7dpflrV1kDQnxUXvh9KTzykyvVTvHtnxIUEDaKP25GIRXgxEWmFpXsX5N663AEbPoZEkiWARU+E +5SYUH8eanmQT8iv20bRoE/JLKgebLP4MLyvehoEnFHf0xLuHUobwFsqhQ/tsr4fB4QYNG+UOQIU4 +z+k2DAULUSAPqMc3rINpxRwVunSG7KYVHz4m4hz0uwSBTSjliubvmEOp13HD2AUpzxi1EFwQ64kJ +QXHlW/O+vdGorICSFGR1VIYcR5srygKn7z11wgkc/qnVxm0WwreiAaQ63xA/L5ZgTnfgELSYgEYX ++pmBV7VmhnyvAVX10JEriGYmxrv2HJBEiMEMh1elw6VypRKN4jW+NEzqLdy3zMjPeIHJSLVVBTzF +MoThXTkVd5GCuS9HRiKDZvcw1Ew6lKhibLQaWxFS3l4KCEo3J+5GhomIpEEJQhDGJtVhJfz9I/mV +n51Vfdlc16Hg4NM+37q4CutjcfUMmYg/95TOxIB37oDEQE2hgV6yAAHm+S5eRMzxlN5hsf4mL3Kf +kuduNLr8IuG6QHAw+c3TuwsWXED4iLyzeS/408LP+xc7IdFF/kG9TZ1mJ5UzYXGW0s/wy77+Mn0C +p0RIJX3vEmIyx7DAdqRTUJqmkD5KUhwS4Ik3i5d2O1dQPSr1HPX8JUSpaQCdEAtoGHa2h6SvDDJy +cWQatEMH9q/8kjPbSBAr6U9mIqBVpUiGY5uCnJNBd6cuk5jlql/6ZeXrZoD+QK6obgKz1dfb9vpJ +GxVOlOnUwrTEmS+n6AHWGPFA6JhWrNWYaoI+LxMSf4P4IiAVKBVjfvM/iyxHIRihOL5bZ3/IkQmL +BZtixWxyQPVLIOeoFqTgZgcP6BPbIhbV+zsqiTm5rKyxre/TjTjo8zK40unb0bOnKxeIO5ILekvC +JsneqgJdJiK+VtMBFsHKNMGITquBo7hFZ/CD0fuyPwjORQq3gT4IJ0mOCoNIpBcml3kktKv9Ucs8 +R4JtArGCHfxc/vDMu+IFq12AT8XBmyeOXBwZsIRcH/vwvZ7Ybeookew5ir5GQMG4aqPpC32vzcA/ +HRn8RjqdjlVlM/JWxmusfSP+Yrjojlh+2IQlr8exdxFDiYibnCCWvCgtsnh/A7EYp6GQ87o1gjbT +UbO/h/4a1ARMFrglbVfjqw7DaAKL7pIj7hkzSFxLoX2ukBzn/37My9qDWF7QdrxcL2muEc8Q7Z0G +3HqRj/J5+ATjfhOURwpPeMSyFkG5Zj37gb0GUVRpWZ6FQUGSrMgY24KFAy0UBacms6gd5WMW/cug +BGu3lbUV49MmErNmEeZPyEz2Ml546k8nXI4f4Xq6N13npBT1U0Ej+nwkSUQjdM9ZFX/WWRJqkaNz +f4+aN/6N4jwh2lm6rXdddJpnmXtTA/WcMlxPwb7NWBjcCeMY470ZiwCtJzcEkmaozs6QlLNToeTE +FQ6O036jROFyzHP3cz8oMQ/aiSOGSnreXO1iJBrMxYGjF7f8RgM5B2rdPLpEsoBBr8zbjdsuwh9E +GwyTUqhyi+lArJ/DZCp7ZJLuUdLPouIAcSPZgnELj2GyTYJrehGu4b83sLvyErShEmXMTHyeahWP ++YWS6lLstrMqSIZ8+8vuhJ1zmZvkMulSFeIyFGzIRgRZnnnOVpyMIzbGGzag5yXyVLmoQJHpixnd +hkRWSCxUNUCHlBHi6POx4v99WeOzFceCnvUXAssymElR1B/2YAZ5QHBsUDlpwCQFXHQOFkgC0Boz +ylsfJpR/beehyO674XF8PLOZbRGKF3Wdb4aV4T/XSsdsXB2hvXxOOfjduJjulMJSBF4DMOR2v8aP +/I3ak0bRke+4sdWTfEfDRgwB6xOwtVGP6MlEg+wb0QR3EZ+iAXMjHRGdfhVZl4+ftSiUH8x8BZAK +gLc3gDn51NewCJZLBff19WSnwWwYID2ff74/hArjOpOWED8ouELrF74nNZeaWp4fdvR5sK+H14qy +uYZGut6Ygqf7pbWIAKGxfY3AN1Z+Oi31o+Dim7LUt0o2EkWZd6DNgATEYgpwv8LUEfDptAS/hnS/ +7YGk5hZRlK6Rbyuj7UW40JTVRoIeZWZ5OZvVXr5Bh+LV3N68inaZP9Uti9KIBholqph6SPwi5lpL +d9EvPEkRSYJuLE2KJUwN/8G35LEKeDakS6A1HAJcwzkb/oVmvZWfaK2Vq9k+cbsslyJzIFCP+kiT +2mWyLp9vWBvsBTn16DWcpfn42NKLlLlw1sGPTnhihs9D9zKweR9CXcFCGuQ3C5vPeo0DdQymBoCM +jCQRUYuU/MAb4sC7tp1Ivu9xlzaxl0fkinobCmiC9E45P3+EirxyWJPW74HbBjWkbp24QcxL5UlX +0+oF1FS+RTwNAn8mV/wy3UeRJSjnzDF7Ca6QvSGn6oUX8vChYK0VmFKkkESgkdDcpIliwdLRTt0j +B/rMp53P8t/RiJamdU8dms2IoFJqnT+7YIntgPDz4MIg3NO76TaIs0C2uwNwisIds97XQxYgwv+B +sVC7cgVmxaCFhLJnTpdtMKR+v3lX3/C2YUoVUnfung6ejrGN99LQB6iNNEjuHr/m5ISa92viIV9R +tylY/fA4LxrcoW03iuiUcMMg86VyscVRVoWBJkQYqkfgwbX3ItQzdTi5fTSepBhUA+zZSOx90SG9 +TvFhA5uNI29q7rppmENM/zeAgiudmVhWFGOqgXY6x9LwtD2hNaeonA0dNbPwnK+q1pSs8OxhOIFJ +UEl0bSXSzuOXjO4BOknmwiJTHgeylZPA6mDpCz/Zq269yYFZ5SOB4KSJDy4ZJtuz6mY01a7aGOG/ +NBEENFvrou8ySG98wfYUrYG3gc36pJf7YRxBO8Eg5OQiqoTwYrF4f23sPFB5MIv/ivRiXp6FCx+u +b7hIH95pOxjKacf7UNjBERELfsIOh4BEQkurqv2+pH0kGWGaid5Xe9vY9k6Iou7QQter1muqPMQv +b6JUq/jcFYANVO0k3TfUIBghQhYzOdtL0Iqi2NGFWtm8c84BDrHdiAQ/FLxpnfomVGyVrJYvxBID +vgehJf1F35U8lW/Y+hYaOSaewsByjnXzssuxS5dNQLKZYpXL8zqlsXACFITJRbgn4Ui5RMwSqmJo +kiS/PbzYPoi+RzaWTgn/WiolljSjTqv8+7NIRnCOgojpTphwsp3WTvNA6KpklJI3+eTpoqvZEZmT +/12TZ7PHhvGaeavCxvbajNFIs9UrvoijLHMqE5V4wlMo6PrB2xU0AFCk59TuZagJ7MFIw5gyLDCZ +COGaL+7hR3QCxYqcCUKgWJRSJqQauHX7KxQ6VkRIqWgIqp/9nN7zXHIJXhNUaPVXo2F09ynJkeW+ +e/Tds2m4UNs1+ESMkqfjsPEPuj2Bs9ScupPXR/5K6Q/90T8XtA6UbArv8keYMIVweN6TF/BznFBe +927rn3b9Lck35BWxKSL0/LaSazqEvbbbG6Xpqqj3IG0cr5iTER4RMoZGCbnJFWV1FNvKdmMJvp03 +T7wpz4TDPGkizqHNgxLVfZtYOKqlx/yD8GwQardhwXgKm0iKE21s+P1fpB0jCSB2ponthyYQxQi3 +DZh05CPdsvS0QFU8xS7LRJed2Tk17jAS7XhtEmL3rUddSfO6wIYJ95gOUtbykn1CDl5LspPbh5hR +P6+5lxGSZF5zC6unu41/pBEr7vDi1vr/3KufRcO7ibZxeeLkSXDAotISZUy/zYjyTIovFpZqVzvj +LVJKRVqIPWotqryEPuODv9415cQsAYk3vQdE6XT1ll7pasgKdikizEj3QRGYK7NBmrm94EMD0Ro7 +Ar/hCDcFSPGAoYpWUUwweYnmdbQRXQSrcBlX+b98kr6AegTTdM/vKg2Mpzk8YC+xOVwWSRFq/BbD +EDpvFufu7T37bKjS4Qr2lYdQPhG9B8twTOoAhobi1yE5vpKJN6j/275FbcdgDRQucyI3QeVdW8Sh +ZqCGw7EfAO9lgxdsApXCTOfFYmDuAPD+jNcP0jOKK0DHXZSC8OL2+p3X4UrruHFHP4+gRmp+vO7x +utQ9h/Ky7VxtvcQRNg7LRg0yQ2WbUF2Uhv/E2bnNKmcyzE0M17TE1AFpZWRmgxatNxaokcqwT/q/ +idiUnTqMJFyH2zORYYFJnpLmC15hOcVPOqzgk9tuPs21Q6fBEmZFfO5NzAg1BBHXWXxZE5fjYbob +d9+fCettu40/RX0WMCfFpFoacSXLSINI4+1SC2KQdYpdCFFYYCO/VNpH1yJ7F1s2KeQnVrrINAfN +fB2vwhGkAPJbHYxIBdPs0UfdPPynwO/A7JOcWBgMUk7c0tNfw1nwLCfBHG0khdj6p0pcl4c5IJcW +Anwy68bt8lHKlmgQ7pvWRh8co1cl1GPN+/qvyW1N+B3BwlIiKfNSvLaU9PjAZ9lJzEqrBaNAWjEX +kWTSssspfAlSlmJlyRdROnI5KfKZ8zLqTW1DY1FHtxVBD8fjHTPQm8DoNISsXDncsIRre3Fcfo2f +m+orMLtfHlP0BbRMcTFEuVtSl/bZNzYHVJ88N/AwGdCXRTPHsH4jeeKKHhJsraDR6D7LDn0uSXwB +pTSF2ks+XzmKtOGfFEnB/vKnmvNinZh/qygLos4ujvgsb0jxVw5/X3xF/G5pF7VWpVaYKLht4yhV ++tspE8PBG4JGsL2UIS+bxeoNBlFdz+G+0CEbUhLRiFgr4eX6LwVDzv9LN3r5eQp950hf6j7u4Wlj +QhBlpxkQ+QtDtqzHlny8RP0yNBrPfyJkOaIh+nV4wSdGeFDbslBGJs41vKtbqKahdO1Q3Nw5KLQp +02gup+UP5AHAijVGA6s5YfEWc3htNyLs45/d3+cnwYvUA4pNnn1T0nWXbUXUP/NAVgskctuBTON8 +VN1hVybaCXanSaqFvgq8gNwDKdCoJdFxCFQLfFjPfi2BWFCJED2TJDRjD2r/SLVWHtoT8yxfspwD +yL4ljoCHxtTSZEYXlVKhcpzD0sih5rhUNMogSyW4R/QCGNXGxJ35PR44htdfaES7uHhh+PrtC4sg +q2NTC4B+/MsC6lZh5MM2C5BPKIdm7XNfMOKf8VZkPYHnGbABMQuMjNfqobhIh6cKgmo//SGZlLHz +cEnUyoTvHiMqcWqPRI0/bnaNjKjzpUv7kCYXqrUyPK51pSd0pmlVied7mbQjbPxNnuDuFxoLI3i2 +13ErGeMmvB6cY83U3zR0ETqQrPT1VevPzCp2RVhm2xdt9dJGd0wfbWyWq776n1fOkmj6t6LzY3xF +1AeC/FjmJ4z3ppcdSMQsYBqtRTHqKsJhFc2FVBhORAw8/1X2j+vQcZHb+fw3typItVjhcvNiSDpd +QvVFZUzu8id2o0yAfv5aNeQFSlPCmNHxwLN6EEd9UK24HfTwoHJRE4ITlroN4lzKoifEK9cqeWKf +lOmBYwKbaTC8rI++3n/5CeRx9eFdLTCgLakPx1fukRVokqBv95TUFJMA89Vr/Wi7j1wTmOyUsnZE +L1PUk+A8ccWPdvTPHvrJbefQw6Shh+gopOv/1idvF5baXc9NVNfUBLEM7t8vPQkHLYTRL6GoNNTb +REppm2jAGe/S6LrrKoWYWKFZsBw1oXnduOEPn1jPji7HqZbOKuuN3L7s5aM6vYIgJrhO++9FS8pU +/Gg1YfqZZ7ggM5Z3d6MKJ+Z8UIXR5Q6Hg3LL2RSyL9lhvIgbqK8W6Ubzuc+YlfKsGe2Y79xk4NQv +gQNuVzsE5pAY/9Q3GBZso55az9rqT77w9BhpStY/MDu4G+ifQ9RQH1g8CRaJCxj6QJClMeuOu0Z4 +xqHo9VGrMNueHjP1PiRrO4816A6Iz2naiZGzw5NUn9+CrcL4YMUdx5WdLp2d1K74NZFSPEdqk/4u +bwJUV4kz1PbKuyS7OaCIwRbMugVN7L+dSFS0D1BXKx7vsYPXZq3X9+7a72DzxryYGGCXjWs41vhE +jqlpq2tVaou4ygNju2r1tzCXDJZzwC/7frppCTDc/J6l9CE+oejd/WWCDCNGq2R4b5lVY0PlSHsg +KXypqheDVIwmQ2UJa5UNR9gNH4uwr2flY1oQ/+CkY5AsM84pfOPqFE9BtC3TG3pbybxuz0QF4YfE +bEwdpumYF3d7yYuxAKtT1wwQUwdnIYkOsAJ9/2TzTAG1cJBO/H3MVC7pB53otPHGv/Jp1++jKzV2 +t4tct5QPe31zJiVGfgkWp5FqCdBXADVJPFSDGVX/NGHgMR1aAwacBCTr2EbwniYknHDp1TBWObT5 +WONQ/8i19CKhzXw9gAvYTUfBhEQ21GnkR7D5Vq+M7FC5cdnsfiBK7UHNOrZjSm+j66nXg4dOVBg6 +/WSzTWp7TWDHPXt+Ae0Z2y2yhS0oqqF8LrEahUU4cQnYeRr4DPKkArpwJi5m/scQwQ2VNPjI9wLv +zlitytOf1xmfcuauy9nWcvaDN70oWfya6hndBP80hoPTQ7imkv5iWNw2b1IbFtbz4bsW12bOxrbY +DB/azCcv4VAcP8su5kiTn5ip0jSKx2xkGNSaDNQPjmezI2sfoc811JtyN7AKJelnKoKYKgABOI6f +hU35tvhF+jXoRKTG9TW2K/pvHSuwbMUT4g16ID/sx68PoDTvtkKQZD4DWGacZyTobLYz1ro8znLc +2mGggC8pDdTrGARAUCu4fUt0qciTBHmAp/PuXB+1MrwsuYEItk+n6U629BIn8Ms1nFmwJnReRaxz +Lkte6rjKn7EWm7mJhC3yzqINPkmr+6yJdPyw8/pZ6yajC/CfiUb16kSfwRvbcbev9TC9G1/EMO6V +37HR+SdBZxc2i6UHmEh8/RmiIoz0Oqs9JH+hXBziUcTiIXrvMMki7xvWAhW55d1JAo24cpDFcksT +MzwYPoIGg0CvUfXAo2QM+iIay82ogQ7VC933uZJ68tGAro5TTPlTeaVFGSkOwptB7u/FzJpNoWgU +FZovaxwhTdoqbwD8sHx5XJgYXgSVYAr2fZiaOgqcD2GLvJENWcuf31A+tjd58RDoiSJv7iyHezGr +n8JI2Dbu/Hzrmq+O+CvhExx1Ali42x/tyYce4z7ZJMonEgIXoafpWkpL6FzEU/s1SN1msciZ4LuW +dCDU8PZSJeWonhvwlQWdWPXJGlUwTyk0WwrDDGmPvSgLPFfFmdVEwoXPucsIT7uSrVg08YL0q0Bs +vHTHmXPB5GBPis83qhnG37gK6Lg2+uOxdjZA65yJ1XYxEClk2Eg1JBn/MZX/tx0v6huIdo4mkIv7 +RGCbICaI8ow46Wgxujn3+4kwkmh8LoMLuZMEbbAT6Q4NPLCtHw9gcq3TerJ8l09W/vK/4jDpNSz3 +YeEuzY7K5t7+NWwF46tz2xZwpRrac2bnwMU+ZexU/4R2KD+TNQlGvUnqGtkdLFX8qnzcSYroQUJA +Xr8RM1H1oWZouDbxNROGJyecI/PzqWEeGZ23WqzcVktzOaaL1rLMaX7P/M+iXLnEmp/Yz83hlGVA +9Avc8Nts03z6MtEBgkgiphB5DnxyVSYi+C655WQMeMp0NT+caaqo//p2jbb9kIIOglca4/yWls0M +uPkGvo5Gg5Yw/W3Wj6Nlbrt3O4au5xZnVYXFyH3BUtvsT65xpu/t6WqMiLxbCDetZspCWoBBRI4h +rrX95nrXwNm0ToYgIZPv24hGKc4z9ewtBU5hn8uhECUGzYEe5EVe9Q8G4t2+V73e4cW1Q+IKcGqX +0rgGMD8u4zTKgx4HQGspnF8O0ojR3TZZU4JY8l98UpGFAmxtD/z7Q3TKQ3bOQQMTkV+0iGmLLd2U +vGBG+qnUsqh/lEgoNup+Yim80xqbj/75JezeVwdGvC+2gnxqkVpxA9e74B5wgjg/UWPiezmuOzhS +xacsxlvkILeb5K8E3t6dDQv0qfDRZEcM7NBMTket5CxGcGCWjhk8fLXRahh5Amzp9njMCfiRsjFW +PJ4gnZi3+tW/7dgVNIG66b2JodWDOUGM9JaYpnrin5abV4pWeliK7udngFySeJlsZ6eoqOqbvKPC ++4aDHFKJQHDHKhPCEIbbu0lJFTHZkYoLY8Qn2dc9sVUGyBmExicMGWgvE976r9c3tOaV6ZzHwfg+ +T3XYNIEl+JmFciMpu4IK42oT1UEuuNEA5DnkKX3QBfRcy/1ooOi4BEJOmib0UYxrJXB1CqgPi6zI +69eT3IfMpI8HH4uuGmvwDrtUCtWTaryV45ccu3QESAivdLS/rpx8SWQV1WOZ/LPaCZdoo1SNUx7Z +5RMteRg0iV2DcolKxJTvhvp3q5Uj+3+NzT61mZL4dpW64gzKFyO4cZW3q5uNFGPRfSiF0AL/VOo/ +jqAAhD1Hfk6DOFueYX0Jr/388kf8WHYFiurW+2/ipJrs8Ud/H0JjfK9exBD+Q1kVvSwMLL8SGpkh +g3+NqcYYPt6vasqaO86rmcWKKIfh3PJfj80aXE+7eNmkRJsHCjijfRYtnuGpqrhsJz1UgT8qtet3 +K3MujJJisb/4G1JGX6sZa+yHPKihNDp5evRB7jsmxbR78fEUWfKTYYaiDGkxZ1WFxcRo2vUBwfBf +gLMwgtOiyRKj0x0rEE1GGh0bGUHKPmJxv4SYlyp40ehcrxtjN+/GfPrZSGh+0t59RxS2fsBqfunF +n1gub3LhaQFpCAn43uiGt6HeACmUI289mazUXjqE/8jVWMFqNe8E5uSVoCWc5Q0NlEYkAQ8juwxj +EMsW4MFEIQySxPHowNVCFU6kqLzO2iXkzD2/5K2lohI5s2CqqjQAXV6CcfvFZGHckoUSI3Geb1Dv +iCTbtCKdSaXxVAcTxyOSQx9daqbGSjeF856HLLyzpZMbso0Go45xeUnGOKXRm/QP4GRg0SRko1b1 +rSalObdnXvTcKaXj7ZSlHGDuxbIwkZOx63NSEsUKHlToaJ36q2Zh+Ie/nS9tVbW+W6V+ym5jNbKv +bMCcOAGXhMN3NMzhKC6MPNJXNipuQnrCApQG+LWbuSfizD/+KExi32ThmX8aOaHcemx8sGPCEYiy +u7J3kyjnQLY2yyueaKtDXpA7zBV1Nv+Mg0K7WXq/EOUD8Zl3p1nvf0xLZcbXO3zWImjl9I+BN6Lt +HoFAadmiL446JCA0wQkmiNybNCVcrFeC6VujIJlzrZBMbDHrSF0J1euqOV35pZ7Msb6zfSlHb6Sj +EKEjauMVIcxMP2NYbZOzMtOdDLSp4g+9jrChd9vxIHxMJk1qsNV+eGkYfOvOvjQnhpY56b3uL6je +rqyzO1Lmxz/XVXdmF0/HsrvYg3xtlUX76AsBmW9yaF0HkK0r5euBSWLLtioVfRjprIyNaZHpQhow +JLXMvFT6YSif7a6WMis4vOuSWEos+sl46oCPUrGoyhaJhP3Ew/1E1P7QcP/1aEHbU111zOCw2mfw +hQ+xzs8n1RSJ3EH+xDSlUMb9ne3M5DFIvh0S6b3iW1jTtdCbPH51njdAbFMEywOC16CS5X3fE/MJ +rKNSL80dBdvHWm2FDYhCh2vVdoezSXfOhx49btapl6h/rKhmON2y0GPDDMlxLIpZ9EyivM4gy/op ++zz7xuuGi7bSJl/Kzu46IOD5nUrlNrtzF5xfqL29vUArZtyYjigvL9pviNlZRjmeb6Rw5eCsWDz7 +JkUH7fviC+gskkPpnvHFuK3brHgtvhj37Ro2tTVYh/AAPv9SBWbNEFgv9YSXBwoFgWdSA3SluU9a +3aPjjIeFvftx1+/8Rlsmo1i1e5p0M9vzcTaE/m6nZjmqJ8l2USqS+3d13jiyZnnvNPIwxosKvdTY +Mbaopxoju+vqIVxRy/DNE0tVd5G+GBImcQqPe0Ie/AhEIB1WW81+/Fga7NclsexzECMc4ESozDuA +GcCB+nT9D3bXmwBseTUJbhWy/8ZMQRYzJKP1n+FKin8F750+GbNNWZ6nrt72DFc9+XJeke/+/YUT +HPif8EHfiiya1ZgawC9a9iPEKTnfJNIuPwx/twPkvoy+yAbOZRQdvplzKVDqT/UxgfnnZY46AnSG +8FP5h49SJz9rBKmw/nsbjcf0afcGCJuIZlaHD/c4XcvbOK2IrfLMqxAcAuNWQFjq3QjEWHIdULrZ +XocxFKtYdFY+nrJ+9J8oao5rvblbT6VxGWhWV5x3O6vq/KvoX1pR7/YihBANC+OFelCyadiAYbrH +wY3mWO4gO6re7mvf3vHyr0vPVhPBsNNZC+bxWavBGPylA5nSuX+MFhdlXnQERUZg6WJJi54a6Xvk +KvScLWT03w5wrxbxh5Bm/zNEG+JizHQ3gIOyLt5z4D7H1Az0q9ahFT6f9FN2Fia3uaGjpnW4atgS +QnkbTkpJDiuSR7jLq/y8WxZQ5pyM/zF4XnIiQcBQwmGTUMKzTf4wm7ZSG8+316WuPY3GWo1kiEYc +dupeFe8zB81+QCGv3l7saQy8p7HQCeCLWU/Py/MMEccBucahcG1y5VNlo4ZQ5yAtw2m57oYCDaL9 +UQSrNKQjVlk2YZmQ65BJnXaNpKU2PNgkNWurtYxOS/PXZ1ku+0wI3qu76p8LhuV7HjLnoORi78HP +ozYSpU8PIQS4tPWj+R+79AIsY7xByVuAFww+NQM8WweIJYf3OOpcC79ye+AAj9wGfxURq9mZVY7N +an/NarMmB8VvkN3GyvXqdsQ+vt+DIjUL+tGDx7eJokHZI7VmQHhiA7XP8xtVcrzy2BQ0jiprZbrK +buG378Km+HttiOlRyA71GNOTkLfI8DDSbzLZCjPn4ba7ES4s3Mj9FKmUL74Jqm6y7znENMUqV5dJ +P6lRRTE05qxDI9bnj9NrqnojKO1QQ2DdGJ9qJ7hd3SWQ24DTtu+eafxn+UpLkysqc2PNIb5NaY+U +dtfnh7pN1NvfoF9/58WqEYFt6bE1TyCbv7zB+uLuf1Z8XaLApUJpPa5+rU0vrllqshqmNjR9zvE/ +lLcVDMKf+oCNyE5DCdqoBY+ur+zxVz1KFfkSmC81qXzbTa7Xhe/ry4EsGSRN/p7QBnnQNGoewGGV +7PajG8IBS6NitMsHJwWS4l1mcSACep6nMMQgrZkkhkX6IqLR6sZANMFYVQyMhp7W5XntbHrQqU6W +M3AVuOVAufQNaMD4QEnmVIrCshdwn6m4iSvf/9Nv4FY1dUUFg3Ze5qDnVVRm/MexLy80z//QnkDr +VFxn6nebp+Bzpox+RWIplucwN97kWj/fVtS3TL8qvtF53zmAe/NFSojeE0GC7XMqozrKVdkauJPE +I1OXxrxQi4E1cRoHNFLDUv+hPNYs6EkUR1gR3ZpFIInitp9th0uigmv7aWySRkvIzYwx4Otk5PF9 +RilWV6c40TMyDHK2CgYPyyeQuIoTwFpsHS3XCpgSxgI06aesFiv7XcfIf8yEuyTiHvLozhjudKdb +cHL1dm/EjXmCf56R0bux1Ei6No6APyw6kk3mCH5TiqlKuvT14Dt7y2JFNB2el7L7YzdSFvOo5slg +1mlqAumZpJe7FP9iE5ZuwWtzW+pUv01jk2puzl/KAf+q4SIaiTQyrorGmSgwuiwRdoSzRaeFlKmX +EeIDquWQYPzmWi1H5yWWVnUinGth3ZP48SGQAIoWs17cSTqmLQouIr2zuZ+59NamYR/Yvd7ijxuu +9NIpxdYdj/CvqlpByJ1VhU0Ij5aNEWoRi1H88IUsny8vREGMEO7ee1xRHI88ZVCNIhJCyUsR+g4P +Id2T0bLQQXRCvCnS2zF4CE1UPhjKNElPJg4r5tgosg5SHjZO0PJR5fpnymqebrx/fLP7c59UjwTc +0JSL1/qAPNmHMENc4t4/0apKCcro2TzcjlHr+B9QHO+EhxmnSoVTVgAeUnfuNgaUbkZsMZ6/cg39 +6YRarTTUbXuc7kqs98KBKwB2wLB1IGIRaDP/Kx3oCctz48Nt/dBlarJi3HRViRXHZCT4bx6ay0zs +HKU9oXaInd5sTYQ5QvQSV/+9rwdPUhnAEdYYU2hLMpwT6L7Wz+BvB6Ge5oUyxk2HhY2mUr0gp5v/ +1IP/GLLz+yVG28QRQgUX0cz5ii8aN3bWB4Kz+dWAeIu5Z9jqYlSl8Y+p1BV1Ry0ouS+T3mY3/Eav +lAO0DNbV3vQiwsFo7JR6/s1X9kTPe2RO+9P4p9GkCffbIQ8pQ+EJe8WDRro4RlVkcurWqdQbkcdd +4DGvljlk9G6T0DQdHGK53icDgN7/8mjuZHCi7tGbuqCuoNa9s6iV7RxT5883eMZ8fJf6tEebGHRb +MRZ7EFWEATIvi06pU2cJcoGQUgfdgEdg+vz+Prl4siHr5+mDYDJb1uoTVAX7Sx0x+S59YmLk1gTG +vwecP4sdBdSVNVWvtiBzV3pd7gkdP2fyMIV5KTWBu8xxpdK4mnOIyTVXrB5zkHu86HnaSq1j++AJ ++wbkS6+z/qcAJjv6z6KqvTB41znsUp631mr5rNSVWOZvtIwNLmM7Q2n0ziYmckOawqrVW45+a8rF +V8CmdNJznRHOiq7+BFzZIxpIApRUYpVMPMN/NGty5c4niK5RWjsTWb2p9wuFswHgUcKUFckXgpfD +C/eeCNL/vnTxgtDbZ/flYRrMfG9NHO1KslOplhDoBqdwSHeKxZNfWwNDZyJt6C9sp27nKLjav1gQ +ozxTNaeULb/TPNqjpl7at+y4Iw28pfnsiaqrmgKRuBJ77txiHurDy1b3ynnEQsdtG9lJpF82vgZv +Zg5ODsHnawTk1rjNREYtdKDo2PisAFIegpa3cfF497yTZR5UpOUfBZCbBbKezPL6vL+RsKWDrQUo +uBOKX5493DS6HDZ++1LLZBc3HTlWAVMGh34JJN0ytZGdrr+LPhkvYfH+mXVCMlVy+w9g3fot/GE6 +bCTiinLmxI8M8QqgAyqGGbxNhEuUZJHCnO38VtFXv0+lObeGHfdeJVcgYB8GJukulv0lDiQMnOt7 +5ZkEg1W6Bs3jjXvgkdHm8cAAbqfgrZLMBb+wNyGxIVofXWzzFN628kggIWvDs5z8hVAo9SsI34ZX +cU2Lev69dpG4ia7quaEjy6lhNudX3tBXy2dGZ8wJ9aFIKnu2YYKZmbhItbtct0qTDcSuNN8HKaw4 +OSQruEjH1XojSaMow989Xa428DMv9Svooc919NzfNUBBCJrxL13Z+AoGdjpaLoocIEAfcUrvzBHu +Na4pjRm2GpEgQBtCPlJox/k7aBMcRDZlxR8hMOoVpjy5bYLcfQ2Jkt4sELgnE5aBBQnK19w2vaem +dS9TORXnIOJXJOqv6NmXbfKtV2hxuzvH2lTWC6DjDH2bbSaRNvXikjSX5bqhGYRUN3L/vNb5KF80 +qUQdzGwWsznDnM7ko63X+8xUSu1wt4XEHmErUlaI2KLEnPNuRoe5pomCenZ0xnaCzeo6JGSMgrto +1svuUrN7gyINg4Nr6PASFROBxXQDbRkcbmF2ZcnhhljgU4AJxUiM9GzZWayt5LSA/APHidpdoE9e +dzhdRWeIAgV1f30PVik8tGFJPK89dY1XFI7n6ijcq5TzRxvlW2RsMQ2tts2uHbowtwxmgx+chRZE +whQsN6GteF+gXREmvfOl61OOGYocObtVIqLwVh9khf9iweLzC26dCaqYyITXTRsy7B+MsW2+4OKo +SWCLZiwIOFrwFeRjUthUdqZ1KxtHQnoZTzz+RJ/rKvtbz27IX5Rof6tnvVjnLj0enIOvEQAkLfvB +m1aVMXSpi8Fn9jNiv07SP1eRIxsnozHz3/ub/LcK5qU8nq1z+pe+zgxCmKJd/xjoGLWmYEXDKlHI +0B6B7AKydutXyorhiRBuFnJpHSibaH0Lu9GuHeHmCUZUE8ELa5ZgFTFaiph4Gdywk8/CXS8yqggE +M/Yx8Nbenir5E6uKm48mV2NJQiWz9r42Qj+6I93Z+osMdGC0XjIb4c1xqJXa771oaWvmD1v2W+uS +ZTiL3sORtZIbtetR6r0fLr9DKXcpE0IG/Eam3At2z/WM8VsNKZT7ExF0yPci9fKKVjkfxKku5rX/ +/8icQkL+92t2RjLh0WVitM5vcKTiNFQ3fBCTMsrFNGr3t8Wo5dTq3i7e6kfd0erVO1ce9YZAFGLe +pyWixG6fp8kiu9zGWfFoeYgsdlDqBdnUYUOdsC6x2QAvI3tY65IIawAYk0c8GCvNI+GNm/6qu6l2 +zhJYS4HDZHYlOWKxxcbBsC5hz+KoHP13Ix1l6JW4pO5Wr/mR8PHS94HYOssdOawHL3Z2onM0480v +A7pIqPLklst0KW+qLaT0hE2dqlIg/EIJyGfUgvjtQLIwgaknhXJxuWdSo6brA15x5p2RJIats0bT +3NwJNYIeAmGQTtxJThu4g1VJRhLfnYGd21ffvvM1dbq67NZCz5K6ielU1MLW/88d0GTiIwnghlRF +y39B0d1nrVs4/w4EUpDOPIsxp3gZ7oRjdSLW6gwTCXPui0wyw5svy5Up7Gp2yW4ZXPw/PzZYOEz3 +7d/yhnb68jnaWhU04aT0wTiTxc64Omq95V20a+TUR6KMtyrgDAEGHgbi8q77WMXG+27MrBgdD7Y3 +LptjTBKRMD8ySHWQdWor/az/c5pMZ6npP9VBkBTTpH0mKw/BBBcJl5JcFc/e4LqfWWEOt2XnknyE +2fYGsR4Gfg8EudZNugdq7W3uF44yMR4bt6mgc0In5/Y8vwAv/ucBO+gHJ91OAdybnEFj4r7royuX +X5YnJmXM8b+Wcn0on4bBYBSdyN1e4Xz3H0dW0YGKfDOOSIIf8DPpZQj5em8/oVcuSm2kxsDck2lW +88qMfn4g3ylGWw0pYrWo/3PX6jh+3hEESoRW+NfoZ5H6UIptvSuwM16/qTH/YD9Wrm+mbhdSzi8c +gxuG1xAxGdF6DTnfLU3Do8D+B56yFAG9tUk+BrEUs93vboJs0TRnjGzXDRoFCerOqYbFYa6LBSAy ++HRc6kmTVhtC4fxe53uYLa48VuW+zvk4dCnFaD+35cxj0oJuGc4m/c96m0lW7MeYZla/PVlhuwdq +tcCN/yyHkF577jbmAlhOq46n1T0xanJb8WMu+n2V8HnuUmH3vfnw4Jp+4qqlxRbFxCFarl8yYLCB +oaRwisMe4/jO4jbxKTdqbTc7P6oj3gXCl6VCXD9GslBYiDkEnJ+CYI1phEnKiwgSbHnYi2/jmA98 +J4tdUYCdQjV1cudnEzWaZ3W2apmurg3ikvAAl1Rfw+9fgsLCrHNkuiQZd+geQSOdeoQu8ei14cj3 +Y+VFwAX22u4Q8f5uN++zbqr6qZanKehRCMclJ3IRsZJT5kus/e9fUHFRTx6WlRPrlCXrlKSDlTfg +aczvwV/r5pDK39wzIEFtOIZOKZ3al25Htbp9Xf3I23svJK+Nh0o4kUoVZa/ItfifH2u7zVLNZTSu +obVv263mEiSrMeqy+6M9PW9nGkwBhZ9rZHxf8vDZfK2zUK5bxraLGlvykdkvIPNvqs7/Tr1IFiwO +NAJAsITZ2mwwJiD5vfEVjYStOv88hfA10ZelIGKd8IyxoA7nY2s6FEYoK0TquA7IjwRxA9peqZbF +rKFuVuwrWys/JcElLMOQUQvXSeIRv7P69b2wdc8vyr8pIaYK4bCuFeRaYZXp4QpUA/qQRyMLUwU8 +AtcMYttyQS0E2TDcSduSHxvL/LbDAPoZQ2Odd7IIQJxhEsmeMiU7sMpOxNEF4qsT/qcKk8FKblfU +gcTrzfDKU8G1eOFOOAYZqYtWaZfwLmKQa47JyZlTq4mOQS4GlRlPTNT3PHbqP/UROkpl0r+g+32u +LEDE5A9AYZl2pc2X09Pa7lrCPI3y7DaX1roj2m+mge/GSMeUkek79yP9BtMTgYtvvw2RNdNaY999 +YrZ2QpBhKh/wdh3RJp3vty5EregYhqWcTt4bV6RyBuhYn1EBOvDLvFfHPwDjf9fLWXoHgjmc2L8z +CvgTSB+43AXgRYvFuWExiRPGEKJfO7hJehTHgdrULuXrBGsngSUR0bOUPRfJb++ixS/6QHXmxGil +GCKM0wxusYiP02pBjX+JpQOkFo9sMTqVsTX0LRjOGJN5F66sg46g2Gi1HXLBRP7KBqblnCJqd4M/ ++kzof223ZRaPDVPu/8Y+Qqnp7UInJUDnhtFAUsMWj2PJPShboFWLsNaM3suJDccuzP0emoT1td4u +IArBW+pG8+Yjr7F6FM23CyQO9yvP9uuOWNL47eGjFHsOUXmYQo8BgjkQETKUwGszrlp01FdqI3Ou +IYtfTage54mDC6huqyg+stHxHUOnMjvcGZ7gaO5yiACl1gioriq2RrkbAygBnlKvIy5Jg/niL896 +QQsiITVMXpTqtK5QX3ycE1vV41bUIcMYz/6dMjQ1s0b3uaBEFaVgU/k8BQgrwjY4q8sSiAvDbYcY +1te9uOgIKewH36lepnsrgreEqKMF1swMKjNiEwfvjmkLDFhnd1h8nM9zo1MAH4xWdYTeIPtRaqam +VbMhdZgnYEpsptqgUXzUg+h6p0d8csLZHYQdSxHtLNOsbrT2U2AHwl9AzlzsNSPJQHDqXgPsLsDY +Wku6LNxNZZR6hRxOCExVM4xdHTeSUGAWMB3Z9uq4e6Fu2KYts/RWcF7H6jLpb1viVj1xKHWZCyaD +M/2CcPyuJtv8zrYFcQsOtE8UJ/d7gQyELz7RMRqlTP1juulJxyp/lOpGhJSSafYrDh8iQt0q0EOl +VoTG8AckuqroemojrwdWQTepom6pN+p9loGDKa+tPr5TVnctyrJeM+oJBR0E4Awxu55NxSm3RNSf +IxMBdVwJ6rl0+MuC5h1B8X+1tlu/SR+qpYklrZGxAw0DNRYbb1tCbUIHYbgF5i06aBjqhBiWJA+p +qxEamCMOxVwQe8r4VTK0rgEgKjVdOdnURXJaSXQZHD2O4ocQBDN4GHtQ4w4CYC2GH35UO6U5J1wG +vIS1wcdj5O3vsFP5LRGbiqji4iiZRdePrI01SdxcGIALrEXRpsuxd8owOy3A9grnlWOM6pBnxBmV +Scdr3QE8CLt/GdI3wwyLQzRXtI4G2XlgA2ow8saNU1j9BrI71azo8MgxE/1UL3zJ4mbVPzI+qK1N +esRsIpqN8l1BMDlTIRa6DfyxUjkihvQWSsLPM/9SAZZq9YPeD5VoOZ28dgzoUCzxqdERSBmefayV +pt6jQDM4TOqSGinZVrl/Ju/l9v9ClHWzvIj6zaKg1kqCsC2f7LQWHg8DqYhylkYZHbG6507CCmK5 +dgl130ewc7Gn+Jy6sbtHj4eD+6GWtWj84tqwfaIUJu+meb6gpYCgIjW8W13SVD289rrmpM+7sPwb +UAdHDkPHuZYKnxyZ3vZJhADQq4BmC0nMugZWzm2z1gXzvKzyPedtivpjkMS2CQwc39Nq9TRN4B4W +6ULvTQn86KYpiM5WQfmnv6EeBQ6bPH94VmnvOZlv2wyaMlrvIgRY2vnbcml8naujc4NI3AKQ1CrQ +IP3VDwIq/TZaPJojvWBraaLP6fqSOO2r2mxmpyDf2r6nT5BC1o9m0pYpDEfrDAo+CYQmDCeHdaSa +lzJL0bLqVKaixK+oxNeGF2RWi8uKhCTajz6Y6XRJ25T3Ol7n/xYbVybU6IK+KC3sdplP4CHJvUOA +zc4pWuGPazUD0FpBLc4rc+WSjPuM+6fVV6NoH4UzjyQmx3xJxhJ5f8BQ1dgrFusvnXKTXrWGJowH +8BZtg6mVdZGUaHTr2C2FE2VSmB2ERu9I2DrgVPtoRejm7Bw/3GqJqJXBoE5XxxL3/9knLLH4OiD2 +LAH5wcEPnklNm+CSGL1RzZbJHJc9uWL0yoM9RAkIuZBcagv4sWaqrpUNIzk+0DODG2w2WrIntU7z +o9gEGroNafbYLab8k6CY1QNiCaXWKbygcP50+gpU59rrd6wUdljk13eoNObvkp5RTlgo/l8Tm4bL +sF1O/CN188o0lzCWoMklAm5JOu6fSzKI14pcxj+kUPaSt8iLzoZQ9pcCVIsMKtlxljfjCHaEUadF +sTadpLYA2uXFyCzbdJRTreqQL3clCHJRk1A7kATjVg+maQJrDgRDDLR0Y31YhtiQFK2tb8kFV8Gw +r3HJYqSdFPwebRswl1ZLc8w/AglWoOJDXy1iL/rc8bBVD/1/EpEmPvabunXYxtkXu2E1QVQBFXnr +VjoqT9maRbSgYOB1v1QYFXC8/PNJNkkWgdo4Zt1tMRCB1kSQarFJ2nGWUTfbbr/AlaTAWiywK77A +BpMdYDzC33QHd3pk29acWkzDYKd5+8Tsp3Eyfww4WXWNDHByrzvUrK0u8H6Aa69zE/XYgeDC21kF +tu98rB0N6dfPQcxNClEqdj4HEcgOXXDhrtd7NbDYgM7KS+xcYTNemUjbgO9VsrnJS+soAdiT2QSr +iuXgcKBiAH+tJu5RSYSoimoQbwRMMlANyGoJaj0lINb7SG0TaozGzVkwMkwnLKBTCr8sXkgiMc8+ +Wdu5UGEDB5gNTsglnuuehlb4b0HmIiFggIkUhgxmNE1+sOzFJaxgxq3dSSSVZpKwJ3SsM551/Jnl +LRlTIWq3HaN0z6kWM9LgDV2yrRlxLbivzQttqLw01ox9LZyCRNMn0smOSV3H0UOgH9fTLri5GRBD +F5TWvMZaB6y7EvGi9ZVAhwseKqUaNxvgKjRkqsy2DMQOb2nKJY2VYcE3IUuC/eggca2IBB/F8hb7 ++hfcRvAYS9xJhF70BBOUW1DYtVHsZX8+DBWVUyHpgnJtWSNZDXD7EAm13ifu+O2TrQzmAd0sdoqp +SOUV71XLQZcBjBfKGsfFtt5O8dA8k0alAhTPcerKv/D5JQ1gadK2UFq9yJ1bVRXfFtCo5pUol932 +KUxOGwNYgZftmr0QaQZGyDHbuVltyiRDUNLl/JtFf6alvzTeT4Is72Ko26YlAWnaFpqw/ca8k69t +qeHzVdhh7V+WNVVdrwXnWPgiNFrGU5875gXujtB96nwTzC5B+Ll1KDG+FivhIniB4wmtJvXpr6V0 +Nw90hsPhyaMn9xY6PkCMbTATKQCq3hriDKWSfbOTBQAIVOm+VP5r208dVOX4b8Mxe9VAwQ+ghykq +PSqpYtJE292GHz0VQzDhLSzVLzbrK9EkOD0Zs6QzRnWEcFlmobublo+ZPcJGLSYKFOJ8tpjffyUJ +X7WQweDBqdYl7mewoRttAud7C1jV6HyGA76UOblxXuGrg/n6Xje5hEbGw3t01EZ25m/H6+a/WvaB +U0W5cuB1AEvXlsX3z2OItmX/e8mi4C8o7R7SN4DVhY7xPTiIgwM2GeM2SgDlaqddjT9f/v94j3J2 +SEuYf8mbqn62Pllo0j4Lisg/tVWWRI+DhEPd/bV3hdokbbg85z9vVxoOym+64ChJHQDI5mDn/IRT +BUhL5XxHAt99rHF6dg2JdmhXIbb5asUcNSH4OgcSV+5wDM9Etk/Uju2i/JOJ3AAel6cH4Fj4HERW +cphrcwiQxZA8YhHxRxWp2BLq6y+4NQ/fT/guUtNOAJseZHgM0LI8/JHatArJYI5FF5ECbVqY5UdR +K6eNk1fcb7t99CQ2VtsZANCD8WSMK3a+gHIFd/b6PH6SUKDc3NkdJKlZp6Ji3m9Yi8c8geuDLGyG +0z71vl/arZDUtvrdDJy8weW61u/isDHUFMA8ssf484vGENthl/sDzxoK3ngqiFbi+QwtDyTNfbNl +U1sJwbdls6+H499rKPI4WqJSIyqMmLqbKWA++BLA1V8p3YFFTbcPSxUk5ayuwIpdIE/3yaxr8YT7 +nNFBTYkTv7EFHAdrfsvGRuNDelY9hVOtP5JWdr0COsdjX4lsRwp7byCsiccthzRrTo+wxB5fq5IY +QXYQfFDTz7fW+0lk4YLCa/zTb0WXl7h+NIdQWBBy57sfKNqIgKw842Cv8SQKLEvABbprp2yGNprS +5kPGERi66JLrpPcs/mO7ke2pzYfeupsu603HsEHNSIc+pkrzli1Xl9bzpE/3WJ53UNx+g+M0USW9 +1EajfExvPXzx+3B4x7Pat+8s8mMGPYpdlBap1mhE2+QIs/p1o0kPGLGzJgEQ3p8x/WXAlUKrD2jX +e0yYrIkFVpIGzjqDD+Mrc+jypwlxia+WT9A7VhsZn7an9+4+QlGFUa/yWJMu4v1qdRE9Qm1c1bpg +MZG6fMgl04SMVWJdjm0LkQSqEU5sp+xvmGgOyRDWhS/t4teWS+SG96C4Q1kVmLkSQ/Jw+q6cehqy +Qb3IaPfpwJ4glcEIRA0yBxSPwAWLoN2W/IJ5Gu23kdmY6OzyqoXsqht7IvrIfH0G36ADcILNZUIs +PgT4/c5zkmxfViOB2K0PzC+w+D0MAw0nQovOWPRSsaOij/1rSsLkLWRiqq8AW13w9qRv3asSwvNs +0rEwuWg2yMRnNElL2Xozo2ePYnJ8qzCsgAsV+qLE5a6nLweSppRFuExg2ohlHzPKr1iGvd9JpbjU +HnbbFOO356n8SvT/wqIwm9BSOMkcRiDaVvdbOWphP27/Oh4oO6qgK0vrte20eVMv6NpWEfY68q1o +fiEfhsBrR83zpSR4VQ5fdjkhfNjcYX2h81lW2lgLAFP5MJU7ACxr6uiveeJwbTqkwLuVmPTohOHF +ecT2KTWgALbgeYWd6FYj8WMd3QyDYANoTX2kHJZ8ow2xPrdXf6+lVapZgdc5wybHXyy35WJ4n6si +VgqOBY37zGu0qf35BE60uQh0IvVwE1zeTt0hpfPCLpGq5CnoT9fsNjRi7BswRHbgrrB7VnAu8pyq +2YTFRXKLObea9tBAF0ZhCzQClSm/zx0Ssqs/M95fgpSlfHoZWnxFoyTjSeDXC+B1Myn9ukfXZKAz +lAKyzDQM30LWY6YS7n9KRqii1ldlyFDxREwBVkGnXvfnl16lUo+bdi+Rsr6HoeaX7yx89X8YrUAg +du7SRN6D7qE2nz5/CNP94EcJm1S+2efa2WVWm3DqBoiQN/Ep65o5sHIYeMGCyuv/26Q8HLbUfsof +nDjbKNs7PGjyVTYTMNSmmkQkVtAjvXN7J3eAyEtv/wKOZ8ijZsSCG9ao82VGNY5ijvJoQkS2mLd9 +oGVlfPGmCxDsLsnh8FbQOTyyu77gHV3GvZabauHi9pEpfk4QYbkmaSf+iwwvCyiLOGFd7xs4y3Dt +OWle1g2T7ymGjLhLmKJKiDOkKw65tvu47cSf3gGD85FJ0dWynlD/eHDXnBgJlzM379mmeikJdRjv +uMPZGNLJ8WUFSVrc3C8s/4fog84baUAyDnmshhx6giLr1rjH3XLAXDEoS6LD/tWttn2d+Xg2DEJC +JoXBOzqfjlY4kJJ8yTlBiwMpd/CTD+bJyhf6KtJAZK7jvDQ1W0fZiiZDHMqtHfKMrw+U36YXBpBq +CrVv1JubXn/6XtBmuLmY2J0a3vjvGAtiWzjYkTycOfha6+J8Sjj0Svnd+al5Dvwtr6OSjlSIyq4Z +jHVXRNwkknDjqmMQhulw7KT6PQtF0wMS+N0/AfH20ECkrNhDrsPkff8E3cIdUG6qMseQPFqI3//n +VYA1sudWr19j/nO4pk8MdFoPdVZyrcarWYdztst2wXBYJo3l5txFckwNo1k4ui6Hb9j391sMjHrg +yM76DLeALeyZL7a5TlQEFjDyuS78+fPMDuUpR5XmJTsL/tMBPSwBM6GVQiQmDo66BwvUPFAW1j7i +ZQPK6WJ7yTgEML7XDxpToZDdqbMsM2DJy55MnzVSK1U7fd/AvuhO3XuSCEIV0kegI3+NuBc4aMVZ +UnDK0RTYulrmokt1XOcaMfgZL49+T94WOJovB9cXwFENbU+4uK3D6oNPpdJtbhr60rB/ec8jqq5z +0jJC5fYz7dD4TafaF3oXvoKCwe6waSj/lh5kzA+UTaHn6zr8DLV//0OpSCxaE9msHgrIZ9i0OB77 +DpYUN4BNJ9r5A30zi+Of31ljktzikLz0JE10NO8rDhWLJsfs2M6O/n77+F/teKHdef1Lz9piZ4Hl +qbN/XObQfp3kYITBaXXhtJHU2SPU5KuQkQic2wD22MX/3YZ+laFzdc/G4YhY3fb51fceJhBJCpTN +jNQsuogSbUhLUCTp38E7Gaox+in/4NmoHM4yDdnPMmk8fRKw98fq8TncpJjon5RBF5BxjGVXRDCY +nuF7WywMXdrJmB2lCeCP0pTXz4Xyr3Ij08hnIxk/Enycrhl728bSBNhKkd0kUl7Flrk/jE2hWp4g +SiEvPV8G7PnFsXmTPyc7GYHKCKB9fQY3ngeHJLhRbLTZeAXbmn0oCrW2xF7tVEioLYWLPjEpryiK +IgxiUsUrgpExE7XITOk3fCzItO/ep1cMkw1A9zJiKOf220arKGBPRTraD8tbbyXlbw5dcDcndNu7 +yCW3N0djykHZeXJ52EOVOL8rWKs6s9SESSkCA0KThkiJgT09lFeUc6OArasvSazWd89n2eIqt7M8 +7Sb1l3LMHQlm/xcGEzKx8mOfqVkKYnplyMiMRm1tidyj8QY79PyXqOtf+JQswUyH3+I8Opmx78gp +kDKnrOExsKutphuPPUkjvbG5mipzBbglMNV0k2iLgfGzOf7B9IMp2WRxWbFlmnBXYdbmNxnqymf8 +ubD4cXs+5YFP/lMTFOrYERJc7UTc0gQOLc+Kl4LmRzpj5HwyjZdcIahpLV63QMysheHGWHh9eBgD +W5Nz//Ftz6pMll5gH/oIjb0yMLLPdsfF9/SwmGHEluhNq66TbxBdWTbgnXXJ11L4V1h0uP0bkpUo +DkqPKxPw44YgiY0lnnEhVHdDyYxeIaj9n+oPmjokao687O72QYm3P1T97S9vPLVpYz2l0wt9C6/9 +/CwfpuZT8IM4Tfqq+XEgRkK+kkpZg2Cgx8JBA8JO9488k1MfpqVbfeqBb7ZMJdv0XdqhBSvJ3Mms +JBLynXwQGr8urZEci+sVzPRU1taVdEzr3A5+8pmRbzOrfqPviBGpCFiv0EKU3iBmXeVqiCJhM/u0 +QSdkRYbB2V8tg8t6LebwyXElTECUUf+8JQfRust1svnbq3O8DvUqnxmKITLbvAgP+RDQkV0sP5aX +YxbJ6nfd616bkWL3Os0mZfxymhp3kzkhbX1Y//UqQiNA8TObe4BDAFRkfMPQfLz5dq/lYjAfO+Fi +c125PDNysFzb6vS4l+n/28YOApWspf7OIUqxb5sPJemZ8yZSV8wvlVdvpylHBYzA4fx3u+0iEIZx +QUNAcpEdHQU84WbpJeNs/NRC8m5P532ylnCG/R3FDaI4pyrkTqeso13RpPb/53kwOuCa/bXIoTl7 +NXp+PoFKSPxSVlM4tFpfsWJMoRcVPyW4YgJwMDgyRU2kohvefJz3SMAte5+iWzqZ6qFdwUtobOZV +VzZqIoO+XQ00gI+8oJE0vOxM7rT5zEcSbgp/Z1hFdYpB3H1bONfhVP9nkTwFy2Y3G+GPmuVZtU4w +sSAQPHs/l8n7FLbIzw8qct4WfgNGz8gVq9dPyeIOa6ophi1RsKRdwJpgDqbg8C4itfgLDWM5buLc +4mmBQRUOqARnqUcOprYUcreOAJ2FhhsJ1mmOOy+LSqbHs5bv/kfRiW4R6hFJNM41qWjRiwbhkQfo +UcQcrx7IpuCDoHNTqgeHa7gFEaY8vfZq9uOVGlACjkvbtfdEp9PzbxrPeVmNkmogo+dNnGsXZ63D +aiK19A2bGcBWoqbrp7jqGlFhpQCoACKbcD/TPpmI2mwTWjjAwc21rqXhbf45DDxPxPA8/ycafwG1 +l/zH8Jpju74owHqe7Q53+LSGWPEd0m6tq6ZS7IDiyenj+Y6KXNE3L13GYwNOIFeJ3NPHhF2fwGZM +xDgxHFMiGIekyAOQSbewqSWT0gpvsKmDASNA64toi54vlpZujc6aCfQFvstqKyhXyoNCNIhgnslK +Zr1slE5jmZUR+yFVBFC0zohlS8bY7iTP4wB9fcC+KNbNm0ux56xHfsz1FVWyp3lk/tielfQP5NiH +2k8/w7FFcgoN4XwZ1DWLS6skkf6bOurri1I5MGG1ASNOVouX1bhiyR489Phu2BSDEDgP90HT16r6 +1qHYRLNGC0I4qug7pEuTdkbjvmrJ3SsFLF8q+ne1HoIbdpfM+s7p44MomSm2XMbfoZPR/KDCxgab +3aMRIstpcwGHqDdQgjtNvtSOAFWcTNu1e7PkJ0o5wTlnIfgx0VCNu5lgEU3c9bEFmAMhsCiB5NKI +MzSSqDhUlIL569lL6+qMcqUPr8cSnczKPeF+dVzSOH2FC9YQ9gDIJrrwOoCZg+U65UU3CtZjXaOa +Mcx1vojP38Yu/TM91Z4Xt1+A9yBW/vmWkZ9ov5vIMPJMMjzUkYw1uyJwCvvU0e6Bw4Wlo+wsnSmQ +2I7SdbbfyuDXBANSo+Mk2hL6s8ogXzoS8UHlqdvnxgj3J5ClH3tHiSBnGdCSQw+0x0P0t78+SUcd +gcXuOZf+L9eCFAVXR3OYwphW2iTNwDsZk4Rb/HP8ZMJawgbbnIMWEQIjPSmCPdwG+cBfM9+de37T +kfLWfJmq/Nb174/8VSuHWFRwZVwcGKSNKeZsjOgXVIlRboqhfLSyQHKJSvTxvQ4Ny/C/mWTtI7m4 +X7PjpIB3SOAW2vo0vx0IXlEXTHGMtcl7BlnleDm0eqpf9D0lZ8t9y2tN3U2TIuIahCFGeBKB9I2Z +SSLZYsQDuB4q0Fn2WMmsviK2JXl4J44YIzt0eQGtaiGMBacMehYJm6nXt+HadozwH6Zj3iBse2n5 +OnOIer52f8VuywrW11BDRMKckp1TsDLyBOuzH/jdZif0deQ/bBja5VaQpZuXfe6l/GkloEgBi6bh +pIFX9qMnmNKmustqMyQznrCRzBU3uYNXUs0xgR/RJUTO9d1sJnie4KzOwTOkphaMkFBo0/zFdvIf +F6sQli6b6BjcI5GU7bMXw6O7CBYgVQZhBKYgtBp11nJ37AX7FRVI59rG4efn0iPSsZitYgMbiSy6 +ztuycMK8wBXD/TfBJEJL1elZzHP/Zi8Shau6DkgzhKsjjFJzlfVqcFjY+N36Bdu1ci6FSmq0QMRe +iljwjJL3xGHi8/Hl4aGOkZlkepvtMSnL6SyoaWo6iSgRzzfhDIJtO/tHZlhc2YbOYEpHwliC6a4R +aiK+mXcUwFWust+pTXWXutJILju1dPsG6gpIWTvbiGGpp68KigXWz/3TG+UT5yTb8+E7iXsXRvFS +kVcPp9E59ZqoZoqMS2mu6Nv1JslU1XWbWjQoHtFXEwA1YulI2U2QiEXlxz+LH34AhBQwQLwni7yu +Yx5gWMnRNKFSovY7m0NzlKp/P1VuWRqDuP+eDERWUnREEBNWLINcsdr95rw1tKcw7CMnluRI3RlN +4A+YA+qkbglL2H2Oavc7Zv0DtHd6YsFRFg2HwtT9Mv3x3QHMUcQ+Q5Fe0kgou6kScBMBHqHMLnRa +upWhnR1o4Er+payrAU758GGR0QzP4MG1h4MvdJRdjPotW4CCFsbVtGrzxgiql7Pg7GSLWl0YVPEe +3dgtDsbA3PKGf0GCrFSScOc4c4soUlP+HuFS5ZS+zmFZZuyNCJzIjoDC2pyNhjae38gaGuV7nf+A +vIcDI6CFaheP7RC5qMgYYHF+zKWrOOxyp7gGsyKDYoZ4qPnMjyev8VI9Qlc3guvfTCfxXDkIEZ6M +E9v+gyKheu8boR+eRgeFwpy74zvrATsGsUzh02RfWD+r+I+17ZQHL1fc1h2OQlDReHNAMUaHSSR5 +0THcwdVPANsQJ7FKxX3xzNosuO/4g5wT1vLnPqki+9kFfzY1yLeqUvHNifPzCM2KKqP6o3UGAwZI +Pve3aefPIse027rPxvo8c+z97KbFBNafYOfEtF/nIL9FxZ6+zDnRS5DfN0poP/lCfpvJsGEmvQPh +SNTVDRFZEte5kmHA1tsMBDgh78vy8SWQtEJhQUgktddNWDbBo+jigY1ptEc0PqZ/uFesvr81dx7M +CqVxUg1wFaQfTZtrQ04sknxVivcpjTzo3UCEwLNmwExBydg8dilzVVcdbInXgI1rdf2Ylc8CzK70 +aYwYcdwJ8wmLH9BELdYMZZF9rycqr6iQzSXBbPw2DEM447uIB5yXhq4KyL2D/pWcBmEte/rg/ggQ +bym6FVmFwu3N9ALKt4oAWPXvvCzQeCSVhMl5CDWsQg9H5thDu/Z7UvYHP0fPdOOjL6nDgH8850wL +PrUdYTskjn+tScYpvKw28wW6JKIe9zkk+Ue3NOuEx18L84FCcUKwVHK2oXGHvpQSKcceczOVbKfw +MrbwScNEXO4/vQ3fFo4tJd56oz7E+fQ2Nj2ZJXGxDISBpyjhTrRWrGa3e7TCpgOXSUGOv3ifPNQk +XiZO9w/2Yz+DSUXWCqlfTylgSuNOlPBTOcHNctXbgW4zvYqlP7N3j+rbsloCsVhzuzpQfx8MVVw2 +kurR2j0l4jyaQh6C/0DkTyZ84l8L/aOgRHDLa8Qeu7vHwQyj33jnHHbYNtvpRSgHfL/XqPMMM7LV +OE5SgeyPkj+omJmetkeeJuDl2lLQpaDgLYzX316b7OEQI3dphiOUn0ct/RFnUwl/TdoAI/L4Jw0f +3IcYI2s3gUG8b7YctgEoElucvcG9kCwN2PZ0yNpqxppmSSZBm2zKwPGXBfq4EXkS7Ono9BjWBSut +KEyhea1DwqfNBFIY266QmQ/+TZKh4zI0xfQ/iQJe/JzhWiCf/R/AjPUqKLl9Bpn2cnLlhCfRDlJc +K0Oa4kNh6b3cypCpVkSxggyppba9fWDXY6eSvHAdCNUPHwBX55TSSMuWi08DqNm0mivLX2zTFkXt +aZBKxkuKZ3LSpeBQrFKBQ/FVsSXu/W7tfKN76FQWMud7nPPtNwYgWjaxKqN/0geo9DKm1iorZV2h +fcRWJnwNFyLOv4kpngsO9U45it/TmgTMFOQOOsCFJbcWZRpJy/vZr0yZXve/HKd/0ubgj2qq5dUK +pw9d+t1fhT/9xKENch5m386koRGhaWVc06SUfY95N/yy5iKMct+ZLWl7/+4Sdr4wGISMti4hdAUr +qqAEz3MCMGuE3my0I/kChclM1UtIduCQU6uyT5P0IDD6H12GIwRzcK2yBQof1YqUVUeuPyoyMavp +AY10w6l9zsArwEMtepo2xI0ot2MAgjoBcn24Ih6Y5Bwbhnf9BWqY9wUSCkn6YfwT845VomEdoquu +KaH8aWIb+CAUCkY3lwPHcaZjMVag5GgK+DxisjpHJeOrkv11Y6jpB7DCbKu1OOsN9SkUhGFpMZ9X +d12NWP7yfyNVBwW3qG5eCjAaMkug5P1a1uuRGvxqElHW+MR+LiX+43Nr4bMOu9QM1K1ftDjabHPY +YVQWmfz8GmPw/dz1YRYf3t92SN/mh1iM2Dyng50iOljqBSqJsJCV7IA/MwJkhO3R0Cj4YKPqSQLy +Alfcynk3C28PGIdfLdujt8qGKk0MQ9lJ1ecwQePs8th79GssIzjgg/G8OS43U+UVjifngvYrAEKT +93GTo7oT0uARActB+JR6wYiQXnoAvjfYBs4+e5slMMQlBXi0z6bHLU31yeC8TIa8GifkywEgzLOD +HEedfyruG8efdSg40NSyU8vmBz6r19OIMrKGPebPbCgtUu7b9vNfrPdSZiSGJqJUz+lkpZTJGmyo +NnzkYLkJkLj+GWICEkm8+uf95j7CMLdsUxAbR94BiBXLw8Xe3Y3gpbe5OGiE3UfScRmhXwifWvSY +2AYZ4lgISd1Mc97GuqkdpD2WWH6BeRKbJnKsJqi5BfUURBloiGvfHdwi2b7XyGREjffcjwNZdqOA +uZwL0ysAK/jEVq/EJOTY2VCo2dfs1sVbwIY7EY1CU8L+9p0fhy2BrQD1NXfmneXahf8PcOVx7sHO +/OsRclUWLtM2mcOctJ8ru6OUOM710xZO53p6h7ecLsg3aNA2MP4dGLUKABeFurGBKyQGt2iBiI4K +7zD/hBCGeFSA4ZLA4zIR+IgcBe+vLJQG4Kti/0AJzSqwjZzgs6LKIGOub6L2K2YZhl9PTHDp1VBz +tyU99sR7YRLhlzM1/KSGifauuWabn6B31+JLVvLyDpfYcNTrgRxat1ERB68f271jhIj9yGbuhWyx +zTNorKmNuXDcRrCgKWYbnrg3wUKztaWjJlkEehj+94RkF9+faV44L+V1/7Q5YVi8t7g/2vDJ9Ra7 +az3P9xelbuzO06HUS/hJQiCljOYKMVZ1xodKMhX7aUeywp7vMOYnjmJGoLdqUKz295K6ZFN2SrqW +6rUSR2rFXCVOMG2P8f1p1EejRz94HidsgZwDu2FKiaLDlMvufgjJO2LSxfK/7mCoWe+iEcLi58dE +tgqygKltzB5bgXg/+jx4i1WVnPoExMeuMkScVmpyY6i4nV4xBN1ESp/Rh3yotqsQUXLE75R87Ok2 +PKnpH5QCZGnd5RJUvKxeU8S3bCaFpo2432j+JFiVtjF9WH1nwzNxFXyuBjnxvt9fm/igOgNuGTNH +Sra0YnQYF8haX+BOkSJG5qNPDZBaaRDai1MObPcPPKdS1D2miQe84dTTcFGLpNDDiU7qZ5iEwj1O +tF1sM0gnKHs/LRl246Ja9kBw4XsybmLXK/8BZyflIMYZh790aoFOaa4O86Hq5rt2MFs6eBOkg9bY +32DYKAEiIOVD78AaWDRGE0Ju/Tp5OseNVc6LB/2PlsSIyHSnKMrMnYy5Bc9RR+k2l0jFJ5nm0vVt +k1BwMnY4GhZlt9ysJY/5gh9jFVG14bLTJRCP9wyoir6D7lGHewjZ5AbpxvP2HYNdEynzyXvTfEsh +pgxVCQmKZLsG8sU4sWd4XK1a7CWOwzf6qscIq44/JNltQnh31u/+u0n2BFN53hjmb/pXeBMcbx0w +tCrmz8csUIIxOJwHgrdWmSYq1S0zxbgnp9bDe3WDn4vEyKAJqN+NK4O4vbPI4PExp8TEOzy7DNCu +wjylX/889W8nC7xKhAZtT2i+GqYyIHxnYzDieGZmooXmUgUKIuQmfIvXCrPfI/zMrB374Ak7y22g +W1DRhGk9z8eXvcHc6gU/goDomvkPWEuuyezrL+0TE97uthwy0ALzDuOnXNUYHOF8y8fAy+jkKCRU +DE/NHVYA7RkGId9x4h6SfreuCD3SnRMuxtcECVjzHVULFuU/MsXBgNTYDElolqEcHtKTsMvMc9dc +IS4pomr0VFS5fTkXJgY/LsUM1qcG6Tf+7Mq1cvnkvK15L4LXM/D0RBFV8CqZabUzvxHvh0ct6cnM +H1AAWjgvolOBjW0ZGzYt4QgPzP0RQpR7wZHrnGCZTrZoDbLLhmAldCWZQgssH82DuIPuxrhh+leY +06VdOXgtdajmH0tNjeBwhVQX27p3QNDSIUCLs6mNaOqhnXAmaRxeNgrMU+Xs3MuGBLu9OKlva5BG +BmNYf3L0l+LM55nYNBuyLaaDyOVwqYHX8Tv2a3c3kKxPd/sgbQ3KMOF/N6mvaLtfjqzzsJrARfMh +Xo72BlPgi3W7XEVpMdiKIEx3u0Dt0q58wX2sq0KbGCbV0Y1pqVvKAuVrKGgc1FQetKLIvGeMga4S +XbxhRqTCHJNMgCYIWeTcIyV9/3AYM3WUoYSNEBXxzxGGadBQmu22jgPgFIMQo+vlBfiWV8bZgSlJ +T2+u9viD4eV70m12uDofgYJe0HQiVlRgQC+DI/xeQF3de5cMggYRwkpNjUrO9N7TYjC8E+6gaF+B +ds9GPE6ShIDDXlmyyskvyDapqMJaKRrBoAfJ0+2Uo4FvrMgVce+Sb4SksVBbjMiPciI7VrsD5WQ1 +oMAQBaWfcNiZcqbcRAAoKYOO8JNSle+kZ39deXnEZj/RnVrIQibFQp8hRSr1EqjpqjkYNbPWVoow +YKuelsrXYDYDTx25T1wFHVs6wWK86CDPnmbN2K2x/yKZu2gdTXaCKArMxgRxBGPFU3kjUAr/+TDG +jzmUkh9umjnGz43fWpcY2i96JD+jM3ZRfTxBJviKC7+hcPyxV+pkIn044q8xOG3F005+DNaoe4VB +HlQWFfnAYyyO0bTQafGOV3GJjE1vK60O+D8DXxj60JYzAYb3HD/E67lck5AIJOUKuXsdF4CiuEa5 +sUVVJEYn6B5g9qw5hBxGZRDS4s+NkjbynFGhbw4cRW+GaFB2T7BJnNt3XJQmUx1ZAhIMcGDQQFvv +YxbcePvoiGaixlXA8FzSUsUToSGzDXnYuWcmAe042S6NxI3aGbx1sBlGnlxVHgG6P5azFW8022OZ +pQD3Lx6HtJ5y0oaAy2400wl0FDkZFfS/41Wl+LHCNiPc3tcoqB3hq9avwQwmmzoqCqSi2FhsAGXW +vV90jC2jXJlBcY7uUuGZxhC32T5q73T9X8W5MFUgGUjDahRly/lRjZdcI4llnslgrvBYFPkzjbC6 +bpNJGDMYXZfdLowpgIkv/LdIH9UFFj5dBiIGBjZWlz+sBGKX1rZVm/vQhV9Rn6G/jxCRFG3hdi/q +wQxCii2TTlXjcuzKyJm+vNSBomTTPNzmH4NfT4GKetE5hHwWjFYVKYlAi42mozmVW02InkBQJA0q +1oH8woAMe0Dwo8c59R3MXX4d7PuMNMTXfv40ZcV5k7FBtHLtsaBpYbA7WFJjgD4zxM/cC95G7E4d +jPwABcy5AaiaX2UQRAduOo+fdoUI2+D4feX6pOEwvFn/ELqa4da9I16NGDolna+D0nHCpR+UYZk4 +2oAJUwJhjDpcYe9b1eIaAILlTyfo5UaOEGBS0Tj2NYZXSLymZWz2TW4kn73W1AKXM1xIrF0OdaV5 +RxCmaXV4I0t/QfE5dG5xYSIYiCeE86mmTAoAQWMx2DYfgeAJhScmcG7LFd/Ed/pK3XQG8tSmpE3g +ZYAr0R4NAFe82DAT42Hq0Yd17JDkvB3eZAxU65HDnPAqhBDOp8hiCtHLogGFBrOFe5M/ksVDUQ5f +B0ru3EXKP3UDP+gjuLuAnUHmfpDonTct6rEOlF7Fvt99A5cFvOvyaaz5a1B8jFLECnBgMI7GLQ7Y +4vBa0QrPQFypT43DcfxfUe4LCogk9A4qvElRKE/vQ7XZPg0GTiOwkGcJTlc/h7P2d9Xsv9D+OhWe +lg33AhfM8TTB/EHTfpP1QyPC+Unpp6iFtEcOfxqpFhYM62fQhoQQ8Q5AtcXwqTjqUPTbotOYXJ7s +ZaLXMeqExa98NiLb2zivalsLcjEDcSuh8ODXtMvyVmZg2n4/8rPw2JnoSBQAHaZN0JFQCRyzs4zU +lpAIW9ACSnybXFAe4GjT9lEDv2P8nEO66KlpCP7ErEvnD2IxIRUTUeD/+RuyLzs3sihmHm5Qu/GT +TUiiMkN/R/IYCcpWOCY9SdT8xrRYmzfZPkuXujd8xuffuaPrgc6Y6zN93RxC3yWhtw6lGji9o81c +tE0bd0KriUy22PhwW+W+AmZLTclQVlf4BnutPwnvUQ5QXG8/+fyAfR4GxHJ6LO1I+tO2+NlXM9fi +DjmSMOfmiVQBhBI5A1tFf3M8gJnlSWed5tVjzt4IsaR7AcSzIojpjLFflyCZaJnjyJ4PpNRKa75D +OAOm+Dy5EOpN7vvHwUE6V4eMuUN8Oh+aSmtozWjFdgyFqnB3h90aAvN9AvQGShvDTN0C1DRTZrX9 +6Fr3eHzYVwp1VBRUakmksUD9zr403qYaVMMJybjYL7bxVb83NrIONSSlhbcMe8tvgHqlU7XdCQrS +2ubVR5wKFkWa4bYalvwPk4Q66u2e2NfUBOzB3ydzgvPcz0UvVckZLnxVrJCvzsT2oGoKArObmKea +80lezlkop5sVU4SaTZTTe67vviRme77ihbENjpjFA9rvOjELBJdITDIRdFZoiDSazeUMY8epTlGa +6O8clEsXktxZnOjRWD4/7shMgUN0pdA0E6fzt0W5pBlXKKHF02bn6mzo7dzKjuqs2vroFm+RClfD +2E+I3gcorI7ZNYOj1WO9aFxzPdt9xnSmddaU0bwp7EqI07oSsTRW5JdOTRz5bu+3gR1wZZhwkbUq +FU9fG7VKCkoIKW/CnKtI2aXQzug7z258/he9hX8aaQmhlEyQ/anvwXXvsW874DZiiB3wMG6Arxa8 +mUFfOPf5XiQ1jRRRK+82ACAzSiU5Gq8mqoA9s0zHaN3+S0T5MngUzv+oHnWvXkba3CeWNtr7lbtK +IU8/7yR4aLaNg2L6RBLonDCgF82tZBu1PLQO0t5YHsZSkjG/3tj+XKDNhKDk+TJf+6c/qVUgzVlC +ag10fwRMWJagCX8cJrmyrbkRF5QYFG3e5h6HJrEcvhyJDSXgw167dj/LOXjOhahgZ7VWVmy6jQDj +X2/19PiOJHlln2lzTCR9FDevN+RYccL9GXBXpLdLtG2Fk7g6e82v+XqNrON42UN/MHWS1ckiELQI +ycQRvSTwgNDckFGrk+1ZRlEY5JU7lLNBGGxMIAN9UgMmbeczmfRXe611wE8keSyRtrbDxAjpRB7t +lygfVHTy+ZicJD6Ll61+EEM9fxTXciGdqXCG8azJ0a0y0iM36IREi+H2wenfGulmwZ3KttcPlRJ2 +GtGn+eeFkw6nRy2Vl92mQY5wl38xXfTt+7vHkOnksw32/YlUHQhoyLA2R2eG9FG785EvQAa3Iit+ +g56pe4kO7M5o8O5HNSE2Uusj0HZKDOO10qtqGD+4p8B7acSo4aZBnBlsNJtmJ7VFOAa0mTjGFr+F +prUKMUI69SP3jEibI0XpMLWQmFQdvnc88oTGj7T62JGRr/qQYW1ZtaMw22FN69atdBursA9hC6BG +oMT+G0690mYRsZ5K5P9tAz+/3fqysvQIss9t1aBiHzxUKrR+6B+P5xWf36ks8SdY7n+8o/M4RQ0f +OKE1Xda+rcnjsrAJ5M9NVCAeapX/P1a/HkUtA3RM4yLtV71rWWIMyQCxbaT3Z24ciGiiJcXJNFH0 +/JVAPUxNNBR1lWOutDSCvnJcnD3hfPnR0Jay7ng0rJYRxgtzXiTiitMdxHf+fTwbKgBuLTe/8Bgt +0gPZC958tEEv2nlPLDLZOVPtLtaVTnKyyWCmHqU75+LKF1qFBTO59/JK5+0ztL+OYRiVJighlqlE +LpuBIaoREYPLAq/M8lCGXVJDCT2DljcmXwM49awZQ5u5gcdGCmYoNwk85XBEc0cRgYSSdJgfRxqN +85eI7O+u5yiLbd8WTjv8luhBkU02/h8Wp5+nPXI8UGT/YslthEJSAWwtSPC5Rytn13aYuPPb+U1z +fJEEPj9++mbVZlWgiCHeLlDf8oCzZasps5U6eIhANq9RgY8hxsXc4hvYDCApWPz92zrm05kOhG+X +FcJ9SfSz619dlHEdAF1TRM+chrBpqUmCznBSMS8pPpxhczd5HKhI3vGprRGqe+Ygrr2QaJ/jYKCT +EOOeGk27ycKkMalYopBv53qvnJK6DlyneorCqN1nOQ+vpEbPW5snPKdD1aBj6hqn2i8znfFmwTUh +HCBmlwEgiK1OMrDbNthUtYHkxsvttDkSUtvmY8Hk2B/rrtzW86j6X4JcVmK/Mzqjf2IT4EZOmYKD +HRMucZiuV+ptlZItB8QPmfnoLRftI6oe5hBrJXlLLkNLImZ97tBV/LUlpiwxbfNFVN0BA0MIPmbC +10AnUwAHRq9DZT02SMkfM+iKmIze2OXeVhnocuiCBC8Y/auCSBp2Jpj/BoZLFTRl8VBJDbvXLKYi +1cEfRsxsR6QeMvwS2vFLBmxjyc7SU3kl+SUohc7E8hTdP5ZsbRdSzrOPGAeHE42NFpYvusK6ETjt +oZCdOcxkO8taUliUVvg+SFk+TaDwG5myoMGcgCtpgHS9vktayhBL8iAjALh0/wfB2jQpUVTaCvY9 +daVhQP0ObhUmn2S7MErh8IRU0N/5r3j2TfZyWvGjLe9H6HSXTUSl1NmoG5d0FIC88jq2zLmpRx0k +y34yLY0QWVWBG7/KsF3Mvsl6I+Y46MTBSVmNmH0nTd0FBctUMjeRNtgxc00UDPnHYLyNDLjyMtcS +EjdUkk3KxMRYh+ieV/ld4jyIiW9vLmlkLKe+eYVMW6jN+eM+j+0igngGCQgfxf0IONJYiczxXfbR +b/Q5tLJF+/ST6j/Y/G03xU0ZSlLfxeZAmtH+oclyZprKRE4GM1QnB+R2sVpp9w1ZyfdhN31eSbd5 +eaecf+tk4f1HzBvr+xD6hhsd3oDWcLWN7MXgeItYrwfWjjv7aAoDKGzH7+akPsu0HvAUoNtMbkc1 +QhYYTjX0ij1CN4IjvVA+zD3ZVY94OLCyP95vD42I+ODZnh7RmfXIzv+XBnzhoK3ISsMapB4gTNNK +T+VK3nDMOeUs04q0W2HROyGU2SoQYW9kOGtThwv1eXiUSM/SkXZUZ5Y4x9wjPDr6ns3STz9Lwp3x +fUpGtXfoP/aUkujDr42i/9QuWVYBqEpvtsNsqqZ9p8Sotz/C5nUjtAGTFt7yMn+ZLt9Le56dfVed ++avyN1kZ7foMjzPQQUHfPMqjXOUSGpmBgBOYAxm3EQgTRxCAhdmbZ1Af6m83Gj2x6B4evwZBa7fl +HSlFGYqZEWA++lR9d/J6PFtSwIoV9B70heY7Qjh1NdjlfrJwIVTqgv8AWRlwxvrR3Ta2EUqnDg5S +Qmrjkq9xe+EPbFZTxyudq682YJC61PbIBJSUo+OzcnQBE9IZ0iOQbWyLodoH69XZV2inx4nrmJET +XyLh1muKeNqL1ty+Nlawt04P5IwcgV9xg9CJFdiOEBNvYAFQuin2AquthqvSiADrwNRY1NErZC6Z +IzAJ6+UKb5pK4UgS7MakmI/0CP3FusNwwlvR674e63T42vj/2nvGpzhhev+886RB3vFbJXGDmL7Y +WCkQzBdF9O2fEDUXRZ/cFWliud6aNXVF00JUrgrQg0PVEHf9Oz+YLx2DZxwg7f3sIRMgSzRbwL8W +UNdYZqmBLAtaKTrNsOAXjBDvXbwBIWLf8vXY7GBQ0Bhabdop2dLTAYJaMzuaKnyZgfXW2PaNsuiJ +fV+nXhGnYG3lbyBaQsv+9DBJldauBQh+4rkJXzki8xFhIJ1OdF+4DEWDBtCSnYFtBI6Q/i/kv3pC +PCxyYnJJMIsrfoVvMdyUWYnMIDe3p7l8uJ1sbFXRShjh3LkEg7LxUVcQgdO7qEyj/BeIa1fBmcVE +t2Pgrl+0ZdwzuiU4dupMgDNZL9vISnJA/0AYbaac77hPxM/aIzZhhb0KnYqlcWb7d2waHvR2vORu +S/ex7fIHCbhiEr4VOfesKWv6UYZSraSYPqY6l2wk7oJVpokoXRAf7shRLG7jp6cmJNkm6Wjh/fa3 +TEhPze9f4WEe68bEtSi36chGFHuCHum8yHX0wE1+UAHLAUb0c39uAd8Hb1/JyAthTVm98QDc/h51 ++zsNWCCMQ/eP53xDZW5b2+bB4TMiyQ5L9N9Fl0/koL5BVbxsj9Xha2AFhRuChO99bZJejYBeNZ7c +kxfuz0fOB/r26gTMKuNN/dfA/Xjj/UoyfvqXakyof+Z+IS+uiqaKYqTjwdwSsvFwJk8CGMl1Y/TT +7n6idXE3cWmQaLN1I6Joz9+oMTHTu3IL4qxyyOrpZWHfU0+PcTchLn/qNHGiQjOe0Pz6kQ5bkNv+ +96HN84jwBPfxEnAZgAVAC1Nl+1Zde0Z3UulPCQKRbbc1pAGb8PEDVeJqMpckVRAfJSkBsemAmamw +fddQywTbOEfZHNZrm5kC3vSUFM0ePP/Q8lyw9dhkeC+29+ZEE8I4sSolO8KZReBplzL3ybHgPlgj +0C5zdhB5t7gQA4oB6sK1hvxgwFLf6JsRFzpY20jkX0po4xzm4u2ixLxFUdw29djtJRinI1Jw3Wke +Qd6yB3wtR/Qz/hzB15K5GuL1uliP9mmCsN6G/i8pogPSLPs7Y6jJgxLSJfHm9K0qfoCBuLRwxpjI +r4OX9JHRoU8ugdKIdwbBYu29y9UCgjqyoMhyzTSkfBUrWK265JsFEKTAc1vnznqYNFqrE3f1lH+p +V+9mwwT4p3WGeagaRf+0xsc306Go5DIUb8qkhbrVnObYgxDAaZF91i7MPv42B4fUmAvN6/gzrRco +4A4tYd10gZaSRdqr+UYOEM2WZsK4BSCHY63NYTtJa/MXtITK+xNM8yLWw7v3LMxQ2A6dkPTp6+OI +AM6rRuebLU3HW5lBMIQ/NGmnY6SO7yRWtXIISXLIUrGXZWOgAjc1Vb/0kM7GPnKRHOfIjzZfll6B +fdM7M2zgijPlRXAsAo/Ys2wQA0GQeFrGdWr93c+cJ6ukDlg425oVS/SMATvYs43xP5Dvs+lDtw2z +nDniwTa4GcDL08+E0sOGa2MUi/IITFehyMN8cq8xt74ZQrBpob+pwdThBcyQR3XukcyUcttuYzla +T5m55xMIg7hExcJb+M4y8+nOALiJd3tDtai5zkt7k+yQ1ZeMgAakTeBpplJ3nH0DLTCTwHi6K7hF +eAcN/0KIXmeNJmwCNZL1DhQEClKTV0rSG30/g7kymq0VqxGaCHf8ia2M1IkMPz9ZrB6dUBe093Ax +LqRZybR05Wof9HGEr+e8+TZDKN2IzU1W3ttQJ7muOHMFtwVOp59lN2mRnek05XnbWoAH3A+x8K80 +AP/QvRnboM5BBZE4GayC/c+MWaH1oc4xeERmEw1HEjDCd8ghQIGhVy+t9mSIriPpkv0co9TbYQOM +J8I8rDtx2i3AIsf1bNZtfnh7qWvTVy4j4cnjacQiY9RuRU4Ef1kwVFyCWruoVp+XfOpeF6/43K0D +cXmMpv0gUPaM9gtdZToWdOculys6gJThj0VOzaEp+FEEg46O77e+IORRq7B/VRCl96RUEaQKv0JC +ExxrCsT4OVJ0Wvqer0kjnmrB3UGbG0E8pShNu+hNsbtuB5qBeAh6f+oRspqWqetwnX30lw7oL2LV +jhjY6LjE5ekG/vVQ+gZVj9DlI5Vs4buAU3WflvuMJIJMiKEBzJnHDWGjXS55i3ZCNQhhj9M4oUON +7WE2OUlQgRgon5tehk1uWJp0KZpMPwhCuGUFnUHrhgDpnTIMlyo3juyjSOoMzO/VERvgPKQBDbOF +uZ70qWy7HYOc5AajxTr01NjaGt0fLgPOV9xsau97IQ0DoH29USy3Ytj3wk4R487K3w+S0AKkUse1 +S3WgXrRHHKdzI/QL70wNIDvnSDwmsgACXkgQOeXvdN7dKVL8W8QeD0QlNymwE93wYeJjmvGls0JV +NejqJnRN5ZcNGxygipqPB+ZE1P+nn2KKeiizsYxuXFfvq1vHe9+BD5llYBxQmnKAiEK2ldclE/ZV +zDdpenYzZC7uCU84L7wB3jGhVh2jMJ2QyE/rSGLjQwIV7CKad/zY9TPAWoUuo0loIg3FyDiyj7Wu +tZbjkgO1hrd9pnVS6PODjRhEX+kT9O3xafYcJlwCb6wNnWR3DYtYeJnoJ1xvZxZh8MNZl8Cvilu3 +QreLH1oSdIuj63q2/zw49SuESQvTqJ2SCdW8aHbIwp1su4VbuYNAbhc9ZbgN78GsuaDakcUMxLDP +kS6D4ekHCt1d63ZvctHwhkWEB2QUEi04HQZC27FRpAYkM/ECU7UuZdz6aXI3abYSDwB5eNtPsrrb +kQ0iNFwtjBJkcd0q9KNyX9e4rFE3zMIXNxBrHJx40/34rNwNvyZqvCef5L+MLgT4YP6pzoUxJMNE +YwjGNfs15i3ITywuhShAGJTBI5hsk+KkWKHrzakb+fkxCLr/lgElOS5EV+zQuTk8pvNz3cleF+Ch +Eqju7DyAm0nyl10zi0UsvAhaxZQW9+EM2jIfGTJqEw+YU/gyyy3L+vPtH/rRn6hgTUzmBImf0D3X +xtry28vpQDXoXN+POGfGH5/TePy36hm3LH+YrnZURslCm2lOGzKjQ38+bkL8lg+GhH0/wYwx1Vsp +T22cF7o7pJlRsqTLqTs+xXqDQBAUznfVKpK4Mdb+gEZ2xjqQ15znidF0ajYGxR9pmCLTxa93YzIx +D84lnAQNKT3fe7+zw/DUyvYt8tCo2luxnzM7BiK1abh6VqLt/seiCp6J6zGWF40DXnIGhW7dVnMK +/OZoUWXJZiDjbjSjuJiQWQX9Yyq9YJ5YJ1IX+8HYwt4nXw1KnU9G8JaifniFRUIibCX90vxFkpJC +J8HAtSRoTnjeXrvcCs54HcMuqAcFiXJgEDFvuj/GEJBKpEbVrjNoxQF3zLL9tZrN9BWZMbipgIuh +Y6jy9uMWvBZNflmML6iSTCoHSpATu0F5F8xuvuJmbkDC/kZN9tLrJYOhOLmWShNDQsCuIi+7W8bl +vZNrSRfLhgo4OFwkPU9/4vLX0mQAtPztK9Wr9vZHu619yVRXIv2Y+eEvAL46XyUXyOFx4YfoDvqC +8vDUpyn01vh5yt8JDxIeFKp2PDCwQKnP9afgR5b2gXuQf07Rw2IPLjq89MnPyYytN1jABNPd24Bu +rLGdppQKiVCxPub0lOjvNNfdrL9S43vlcXCBybf+mDtcPLAUqYZtdg995gSNhTuGWd9ZOB7RYIvA +VTVOzMcbJ0LngG68Kwm419HOApr6d3tX4CMXnrSq9+ha2f8ml4M9K9TPv+/miHpWcRqAEyLdES+E +bTl6QpxYXUCSm141R2fwtxcukbtvRqTAJ2W9lHf1ZFTBYaklyCsS8ZPbEvV707OvglePRBrjx/Bx +x2P1114eh/sf8D4gBS0FlaQmlYv3a9N1Ze4KkRqKo4ZuuPHwERSMErmHUcG4ioohxM2eb3BHosja +eabRsiyabMLsXcyzj0XD+Fyp7ud9LzO1lDF6SfFfeJ7bv43qCm32Yl+Sf2mMI5O9C6Z/PcIEB+lK +iDO7n1qKl/RNQQyOcN1ILNZk99Q338R1OcCE9gQv7845Ztq+//H+9j4vCPsAE1rsTgdQjYr3jlZR +tVCuhXrexXuSKUUeqiMW1StO3D2PYwhnuvP9Y9Vjfdxbx7dA4Qvdp3NV+qQodnbl6I/rIM/XMH17 +u0Mq4oGDL5kU6a9WXKOlvkqqUVkWR+KuZ1I2cLNxXVKv30sFrt/UkyBkTia59PF7rIb+0aBl5fan +hzVrEeTWD0h988nCBgVmqXC23vo9AafcoRL285vU6t16f6I+TzkQErY/gbZVAXcAU3boiPl+rOXZ +n7hM+Cc2Ww63nOUcynNeuYTjneC7R8bFMdOU4w4VfT4yquJYKl2N86bQ5uleKQV3AiDO/nX+kvYg +ffeJ0rv/jEK5SIIuCEghIcaG6dT+ATGQV/5PMCfzCYxmacHUPLnXPUVX5r3H989fDShhGjoBxGXw +ssrCSOxJX4n1r6buFTmJwX21278praPpnhu2WpOCkmptLIqyhESMpsRfFB+dBwGQBNM2WneblCLD +AU+fBe81QGfb0KgfarVZNAqAjToMoNhRoULdaabBO5EVwDOjbLaJ4tFHqHdElUwPP0xPzOBkrzUI +XoWHOp4Lhg9z8/t9yn7mP6jJmuE5U83/CdUqCuZMqCzN/AzdCJ9ydaxlG8RBC67zSGgFN7XzS5IU +gZEet8+J35mluBVbDPv0KXkbjASyXJW9VwYQTqFsmTJ5MoYTKoZBZdpu7bSFrkohxNjIfMiemAHm +pq3cXdqsAZ8ebSS0l3/blx8NVG6pQdfll7/+SLKw0XHu2pU/IdUyUdpRl3aX5h35iKhK9VLX4Fen +poDJDN8Oxg4FQZqToobPWqtirGNgyJwR4LKH7L5woKtx7NFS68Zd5D6i6OvXEBAM3eH5USW5BWj6 +dgQsycOaQXfwrW17dFqs5i+4pCYhM+vDdQ1liPOzsFq2IL2nOCFtGo14ToI2d0uQ8VK/sD07Uen2 +LMLd9QORBazjuEdvIq79sVK+JCVgPm/w3jBB/fiQxxKN+9Bq2TpVjqIt73MYvK0Ja9Ofcku6ReMa +1OJiLIkmjQc+aRuPxYIbv6TNdCE6xnEpQEHHJmihJnwN6Tbz3rSQOsGv2cpWzM4upk5gYOyZfbV/ +kSx5AJJTnfH/2PkK36dhWa5Rvz5Oi32BB3CUEbAx3Z9Fp135nCioVGv+seINFuKbndOulzHgbeuV +W4zRAKlm7JWkgE1xvid61wZCP3X/x7RVdFlXMA5UjkNuXebUDlijqjx8RyyiqfFZPRxrKSil2V2I +kWCFlyF7mg22oJpCmN3XCZi+x3rfoFcnPBB+CJW9ILdOr/7AeOrDoeQHkDiyPEBaLaf9EpWrocsG +f1Mtlwnd30kNvw/XTU2TJSfvmjugrgoQfkwU6w40pQmG2myvbesmbmN/w+qaAuCfFMUiUsq6p4ZC +pKqPJsMzkxIHyKDOlOo7z/te0QwriJVcEI5dCIOCxVhTdhx1ZdOyYgfSUQpzGaN+68DOHGlHIvQ1 +P9D3YhBPWOPdqFJ+HeXDkDgS28dWJmE336e1pWtKRDdRtvDCxngDr90yRsMCtBsXQpHbj2090bOn +U+YwZXM8ZiKczBQIqVwdvR+sgctVMeHUmhH3kV/A8QwQULVOTzCA4ohcdPtyFKDJ1DszlIPt6KwQ +HtLWvHnnDvqYTNlgHB2o2P9dDYux8dgIQJcayj99DfJ4ZuJfr+oxukmAzv2FLfFte2c+9G1QKbig +A+Lo1tTGU4e0iJIBUeOBRxMLgItWZYOhJiP0XRwJvHSmCcdJ0ffzrEV8/pmP1k/E3L73j3YVjgB2 +4+TWkPm3v9t+cAS4DUNtMVl1a1UusBfp7QUMSxi2rBX2AcV+idLZUZhbMyfPgiq4PauTu/zOB/ea +ZfeFh6D1mwqibPd8Sq5ZSYdQ3UhmjHoP78O+eEPQuewtPwpKtURHj37Mb0xAwrk4WO938P0BwVe1 +dvg/sLRInXUmFF4pfB0ihuD5BHHK7RELwqQntgZ2zBUdF5ktbyWVgjkD92xdn29KqAJl1r8SZx/2 +y8wKpB1B5gpmrbiQq9fbasDQzuK2uUl2YJzn2RfLTXuw0WxpKvynPcVRNF41TVAl2j/1eoxWe13q +OT3Pm6NHGoQFRomXjKLAsZhH/v2HVAIPQ0b2TXD4cMoci5/oGxh7fAUz41sguPo6t9Zznc5AsL+P +N7nBqUkVoAgtbHyvO8gcJVvhcjcucQj08MMSV/OuwPgJnFZ9uOQEiuIt9f9nYez8LYzRDaw6rIdR +sOCLDlIu56JTkmUXR7SjCfrMSAmnV6sb8AyO0RHm2YEoO/DZpJJwPh9Ngai9Sz8l7uC9RSfFKVqe +EhV2FWXXXFnLCPfRu3Egl1BZaZrBwu2EhVbm/2jC1g9aeMkjfMI2VDttCPcEV9n3FFJN5rsT5VE+ +fdDu7Byl6nyBxyGLqmD2DYnIFW5yA1bfozJEE/FP/oFjgsooHCo4lS3RBst7rqb8Ma+JmvtYZbLZ +Zn7WIOwGyO7U71gi13eLXZGMzluz8hX+dHFRB5603g4mZeuVqCLvplVF4D8bA95vunQXbPEOto86 +SXBczjiBgjrJL1O5vsGnQtm/b0+yECk0uYcjU0hH/BpJCSOt0fnbM56hH7TqIa52Zjkc1pDCuw/F +VzAJNbNrgUBc464GLgy4TiuUG9x+OqRyDkZ4aS6cXfMd31nAoBW+LIxdxqrd7UAZTdqHEjnVBe1b +9F/BpuKI5h2R+BJ6wNGL7+YFO4YYgH72Ll6CzSIMcu2MNTqZ0kDCI3qXdDO8GvkjQn7fooZi2v2I +PSr3SIACVT+PKf7msJLx77Qs0ShbW0BPGGF1+6QwQYvgVplejnzddmaGEaEzrS0MVJmVYwlPZKww +E1cRPvRdS97sXvmfu9Myvrm3n8d62bYKHP5yfVQw+TIQB3IJWzs5LPQ+50NdRZ6Xtv5VZvjyJQkd +qw0B6ygD088atEppPg4mJfc4xg7w9aCSjOxzQDZrvRmqozDiwrnfW9QhozLqsEUAImokSWsNpXIM +NE2PMdmJWpsYvxJipP0tzGNP9Vg1IzlY8v6TEdZG5BeiWv6dFrIlFQkGYvpxkoLVXryGhWPbVT6+ +w1kbeBY/HPQaVjlQg9mrQYGFMK7OWB7ruQPBiKp0BDK+WirHv4Fy55P0MYtwIxTRAuHPVYQbrx4E +CblNoW1n8CK/J6e+m/lKYJ3XJFdpYLCUWCTJHdUrsnwdEHIlxW2UGmnF1bMe9MrESfZGcIW1CCzY +Q6z1tWm5G3DCRb52f7LcLvpllAZ4SoKqjyJjTIka4WhWyeB49LG1eO6VMogFqxNjnAmkPbBD2Ve4 +50mFyGPqPcULUz3pbRZVa/xvoQ2vU/xHCRBoZ8NmZ8UGF0xeO00AezjV7Zywngck/9+8JuAY0KX7 +t5INKnBCyog+9jojtmcOnZywUC/Ma3VCnJr7iPhCAEF7Uj589WyIkVbodOxBYUA36VecYGp5OhYk +f6GpJmMp9dUNcePgNWT9C59V9AR9x1VS95njzMJLrf9XAS+7X2SuuBzd4Vsl3je1AGLvnbh+QQed +9gLwBB+anwRWwTZz2dGr9n779kZdkkj/x5r8pRlM/+ptGO9MbN57zDPaR1D9xvkAgZ+lL5OyQMQo +t26Af7f2XiCXfmmiHYdH913tlu1hMdgXu/uWvZnSpM1phTWw5/NnAZVmZJhF8BBHgocU8aWYVNWp +rC+ScbVh/YFKljf4nezdTAPwdh0jLVEOh0SsaZNx1xhZk2OVk75vJsYxUjBvKmrj4f+mBXfQJuBM +/6jxqAPipZge5jieX50Xd5CUke7/QA7rsdA8KojxgfgWTx9d6Y22R/1VmoTlItbLCgiA6fptcQnY +6Xfj2NStjhX9fX5nSx8hlDafcnbL8pi8zET3OWEHua6mB3n+tJW/sJwfRdtNSY41dCITQFh3i8a6 +cflivtp2ffUy4Aa2wVElBK+JdAuhsUKvrD5AcrbUhzZW2NrcB9HNQCsWYpusa0QB/QDRPE8k3ey4 +pbK88wCxDlfTDo5nJ/TNsE5Pfz3M0YU76i0zKkz0KpgeQ5gGLaOUj6J7zwA4IaBqeZrjbxmVy2dP +YCZsmfmX85Fpw7IavMJdd9xme9upgB2uK5tBfnvMR9tvtNIcmGALT2n7mCV/JucnFsnQS4RRAmyF +33wkBhXjyuVzgeU9WJ30smUXV4kT0WmU0wQnmBzAsa4DtcAxLFSPrZpEzMtwHliGSanUPsj6tUPm +bAIW1n6fvLHr1dOGawZ05rC45OAXqIIYKebNJkHCL+3R9CXIYhn4EPMEI7xTWq8nklb6iNIsqNOd +XaU/Zjtt9+hKbi7XUpY5ucCR3rEAY3+8pRwhkmQex4s27PQjSc/GH20s8GBh2yl0RhTt8Zcnxti3 +iQEDzu0GOMRmWirNFMayIyYLChH+EEg4H3ctzSLNf+8B0i1kdgY0qio7PZTNeBAXQxE0YMkp1wne +Q+kxh2XwPLm2mshuro0S4614fegqSl6ThmIo+bTOckjsHqvBSa3vEGXVcUDjcEfc4dfmdxAXppgQ +1Ha21VuQ5ciiqjwxn7FztzgV8XJcAtJaQRY+PNmXCmHDnDMphfQl54Ar4HVy2Ud5sH5qwtLyQiBP +SvKf3S+/5kaQd/rFBQLeeTZeWZq1TlFRbaCHZ5+0B62rqKkV/c2x/wnEavXWzi2VU1aZaLjmKfPF +kJhP1DYQHHEfc3XLXVU+AyX1mZe6iANJGWl/YN+bBDgzIM3x7BPG3fryqVFvVMiKfc9OE0o3rLRu +543/SzW2ZkQa/924NXL9cu9azgv1nQbWNFVEeuln4u9E13evvCitMT8oIdccOsBLytLOghbrGckZ +OTLBspzDsYyg0eFJ60DBnA4+2hz8ssyk1YbxI4I2QH2YZaJwRzMpRf4YEWKocdVh3AaMsBPwyC+U +QclmlJZDhqHTkyzC6K+2oIktgQEBoVT/cNO5clae592podsPDdOiTYEScfttzL297HLeCfuDnnzV +GpK9xhWdoSGRsSO+/KIfJ03tuP0wde/E8SNcYA5dMlpi9nogzTe0mJ1jN/RxdlmaDEEYgbc5UTe5 +EZIgL8EbXsQ30tZ0OV6JSd4tqcO8dwH1OVPzBpNlmBf7suydh3/E5dq6UzYO8Pakw+Vq7zqh0Gn5 +YwVVPrqRZdPlF26E7yA/TLSOWIZQZ3xkS2CEx970N/XuvFQVaQI+2hM3j/K/LaAbg1Qn+sabozlG +F/Nqkz2sfLPjM80SwwXATZzS3GpypC/mKRxBFtyLsVOpvGAc9MP7K7lKy2m/mB7N/Eqo5AMp4ff5 +rCEI7hYo4IZ8uXNIoTQs5mi8dqaAvGrB3DtthS1mAznW3dgYKlB9fm3qhsJuWJBZfWc1Ql6Vzjtb +vu83I9EAGaPDrhkPkA+oll3o2ieSMvZWuzJieBzmQ8LEesQErTCIPlXpTwJ2uATL8CHzvI6EPGki +CvEHuHSYR+FHOU3He2IiHwOovCTvdGinr6TIXAbWG+xQ0R0o8o3kL1E/p8DBkgIqPVOgiKWrFP6q +5ijRMQ7uOtZSfCptYCz2DrsGy3dOiWKKcI8lwbGTLFXLk+ks8N8XOciSgP+LnmMvmmbiyf23iPnL +BxbXYZUhqoGYRIqen/zhUnQ7mYjAMlJtU+8um0fMIgrAjuPyCoUX7gUbTvoifHuKZ6VANtVXglcz +/3L+m+zDQ8qDdGmSHLBJ4VmkGUxQjZnZynekKrU/J46Ia6qHw8Z1aSah+mYZx3pWJGLYXxItbmNk +vcuTcO4MOX+hO4P/h7x74NqROMasPCO/yQKWfIJKCIJi4Leo5pzcafa3D5k8LGEccOy/rhqZfJjv +Lyer+NCoK8PUm2JkbqSzz4xThocvzgU5yFqKVcqR6Xik/maX7quQbeeeJKbcNr6S9LvEGLnLXycs +wpRwrFYOfmhQrzunC29+cJIHOy1G0cFofwYIiRke6OMaVwPsA/Ot71T1suuOPJ8TWB65MyxlgKqX +tWlRacMlFW45O/WrgReTpcZF1+gKZ1iVzb4HqGCZMEKDV+6nBgUnT6Y2CBGzXmMiE1ZhenwxXsAy +rgLvyDYgyEmRVmaEde8i/C92sQgPH/SfZkf3CMjOfitHQwORHNaYz81vTQFPC4vCR6vuqFqKzOd/ +5xZ6YMTrFRgzKBE3hfY52Zq7n7nHzvPXXQ8Z8nFxR4yUC83xu0itQqG9ZkKXFPEnayvRpmcPEYw7 +wTw4XQZEtzseYYTgzjwbS1T/jpSz6szzuN7zuUIlHeduxWfduHYAT5+0AvCx1Z/P03oS3VnN3Jbi +9SMmqYpJQZx6OIYOVnGqHbPXdM3CG57ib1LziLw/eWib6LbqnFuGA/llW6rLz5/8NG7UVihFkliM +4NER7qkTZbdCL1TWTJq+1PY/O3ZS+/sl7vPMECGYyhNSc1hx+UfqiaB4UD5HeSRrvOLxmgdlD+JY +YssxO4lCp1bxVKMaQKpDo3Itt2r1hM1H6HzKbHrrGO7OvgGJEgpO2oAoQEnf1h75pB4qvTBMxDbE +PtjgqFz+/DOHg8VvSZiQDSIzcTrI7htE/3Ba2VAMRkRcGQ32gfeTRiYh4xG7HwZqCXEFUJ0w2QRp +AKatruDNKzUQwD5sdgx2IbC/G3UM8WfWGmeSs3Ryi61rwXEBEG8SPl7SJz0JOFUX1aHKVIEV6qVX ++7Nv8C0r6bnEnwYw1aLraRWxdylQ401c7Kb6dFjtkeoV1zyKtouIduzyH0+IVp/fQ/oDuR0m4LMW +mdjWn+/jw6ZaCmvxwtw7p9IDyogGyqgNhxtzwEjb+ikL9KefSVOVqVZqi8nk3pdtNZRJOaAIoElA +nby8klMyUZiRr8nVCHjF+pcUhbjwG+msIlM3o5kgT/rtk1IVSmrpWYedAvLVaLFNxDLUQ6orY7vE +aTxBotmd18MrbR32hihKLJYa2362o0ei2YL5jw8Yy/4yrSTEvnNPDlDTKtSRUHwAyzWi/805UW2o +w7HsbLyr7aAt1W++WhZumkafTm5//nawRUz7TNJyO4NxIMS9MC/s6MOZeQxm4lKS/g3oFrT7s4DL +QjnNAAM3/QLDZu/LmOP3dZlmA4oMoTpt/NQmO7Kx2Tgom0J19scdA8nDXuBU/5s4C7CEXZPcBq3j +zhNsor+PtXYOFPJzJnzDdeJ9coQ92DujGPSVUqK8hsvHJYt5Da5HtnINUZCAZGqXLHyreztm0Shf +4mdAMTu0aR9dMohqVIcjdmzrwvOM5NN3AElKPFOAlzv2dM2Na4+Xjyv9XA+Wqf9rP4rWG50iVzFl +2lMk08QGXjJCuH5mzt9zVz6sIwdNbaqjEBt7zjKyq7zJZvLseOYV9QcTB2ShLf4r/uhpXRVq55B4 +DkEtC9Q0PFVoW2tZxMsfIZDhTAAG4NZ/FMm0qQf/7Pkh4BMSh1kFd/uNqU13Ppn2SqaMtipJGY+z +F7zhNWHZ6569tG4zsPzWxzPT7f62g6zdt4ak8F/OSGEFR0DQTJhpFuYOYdbHAkdbezcehgHi9DF5 ++Q2rGqxAAymxglmpOhywm6vPxA21srIgjOy6nrY1E0im5/dN46oq8Bfd3x9BD/mL5Ddvwykjzf0e +rtSa7zvLVm2cZKMrOuHO0s1ihbTwAanZTe7GFSvuzOyC0oyczBG6p28KJje300Me1qmO/p9tvVor +c44p/FwDDOORHoOnDHGp5d5NL8DW3GQD89WX5mKeEdvOcMq0hGOma3j68l4RbSqPF4cHmRJLPg7c +aeGu7jOnn+hNdr7HEvcu5+2uxyWeJoiLtjqx0ppLKfv0JL3qNJKjHiBlXfLFMcZiiINZu0UqOeuN +xc7vox8xgP8jYpwmjKUkUGPCUe7sdjsDJ9kakyK4t2DwnevCQQvtVRZb2Whc7FE1goo4Z0bbUkLM +IaisJ6glmIG7tYnlb/SZO9ZNkOWLEnV5+qyBbr/qGCKXDys98FYZtctIg5C1T8HiaV98Xue5lyaO +dxane/6mzWMfRD3Vd5h7DUG+IqKAlyEtQP0ZZwu1NPNa5ff+5VapwMSVn8p8eTRWSNhURfyK/lXM +RoB0pu0e7YX9fFA33D78Whe3YaPSbEcteR36Q8p4h4nMCyLYVEBGHWIKacqdmyagZewQgR2PCQIL +UTO4CEsgZ+VLL0HwDz9QcbavDSBKQbulE3RzRENAv9QTscucz0zWsyonJ1a07XzFcj3P6BQ2jGaR +zKEUJALBDV10QnENOBTJTTOL5evaoZB5bsdJHh7uET6X+wjAz3XKJ4vNUsLCZ60N/HVU8JxKAatF +lK+nMdpOlMTm6XU/wd77VF935ej18DG309KjjqXGw92mZ7YVg2gF9iP+y3ICCOXOg2RjM5o1gyIc +h8ZhqS6NdKJUUYOGOejQAm4jhfJDZ1BtMNvwgAH3Gr+pQA0RiG7HVvlXPCv+O4L93qZk2whp+WGT +s9cC0vEyh7hz7zEbjZRmhaY/R1G5f/mm7JyucW1hfn5jmXf5ZPjAubKXAlFp2F3LHzq9I4Prh6Oy +OnTgiNcnsljqwYihGs+14rAtVBAqbjCofKIuKlbMkTnaExTkX8Q9TuaL7mbkdsJshMgHxdzLiS4V +wnYw4Hj++/xmJoLPD9WTc9Hw1YLp+vIFqL4WcnaO/eGFk4SNxgj94GAjKkNsgjM5USqJfhV0/iSX +7luBWqDIQx89ROvj9wC6x+PgXrkP2AcC8JekDSws/f3exzhJ1SeiDa4Uy+f3M6LjrULTun/uEKHm +cOVdltAen6ndehfkiwPVkKrkeSJyOJxBnCkqzj0KKJReufLr3s6DX0eGPpjM0qzCq5H9KFYmGzfk +TTJy7TG+sXVELrY/GqO/Bgkz6MdzuduzVCYCd398wptrowI75d8YW+IUmt/fWDrwIhlQZnAfXEv9 +rFv1X2KJGnZjP/j3ItA2Jd9HkIy21z4+5k5813U1QCf1wn7Rzxn8Hjuafd4dd7zthriMLa2PoC0I +hOZ7sepog4dEPO4Kr9QEfKcobCepulNPg2538tzbkCP01pIOCf0s8zoqyEN9kaQP0F+gAR+S7hi0 +/usRwhZenUC3RIZQnQZ32+qY7N6bM3ZjQ2lbWSq6tF/S+EVtZ3THg9jvDU7ktNRP+umUh8amhqxU +dZ5N/bfudEMXRNtxb+25ADLdzn4pL13OtXzz9j39iEr3C2s81JInyNXpTSsDmnMfiBIX6BsLrbNp +BTkYUW09hdrOwzPKBdDb2eo3rxP0Bf729LMgG4Gu4D1iOWvmMwfWw4n/CFx9Ijn7rC8G3JBZ3ZhM +6GQmgeIHhyxmS1/2Ol7KU8U/TPE/5XuFbc10Vb3sDlkTaht3epp8cHWY5bUV88gYlw4ezB/kH1Li +CGxo8g9dTU40o9gUGCvPqWCRbGmzgXexnxRNO3ru4G1H96q8PGch8T29hDhM7hRl5ifREVIHyIUQ +3b5saRlsJ3IM6G1T3hSuMWqhcqJmsg1oN1pMqHQkDC9IE2x3m6ahw/q6Uk62vcbrXkxu9TRC1xHe +J02AWgJjdpxHnm2xozaftGHrGXJgW+evB7t7hcmmOAwKeyYjrhbQSEtHXzC5mRpRJbHmbYKR9wNI +MlpXRX+BL6CnjU1PWcjSsp0k1u0HzH6A9VwxCCPNUv1hL5zpcMse+9iVZd/fMCr505TPnwoNqmYH +mvfwasfEbYOJoh14UTdRXMlBQ8G+a1VUiJw3477G0Qs35tezIb1JGZ1IYSO7xMDH7jiWSyRRpyRT +w/gkytdLLHoNis4v+OBjkgOpq/Uu0cfKlCJ+RPi52l46juXNe2LGVWiWsYB1N9F4IvNsHjxJR763 +dvEi1r14dJU6agnxqXv0fTria+fE5vbtNfDeOLDrCaLEwAROmCv7nCceCT3GBQG1YY2IWhtN/eTD +H6TvMF5NHndbrxblBYUnVbjot8ExakRPYWUb8Ud6IF9UV+64gnEOyIXP9tvDCAQtQy4XZ54taJD6 +SlTBhBCxuShsqfFj9xTK0i4wP0RSk6reGf2jxDHGHbRFQSJot8CDBQAuzbg9favd2qGF2ompo4km +FGMpfAzhZ10oJyCBGvHNc17Qph1urRYu0whejA+qicu6YaXSvacLsqjwcxGTQ9DO7LijfhXHTfi/ +/L3zHKhEsADmFkXp5cm7dQfH3YVxCsVLwZ02v35FAZlHOZvFXMqV3xDRsKly/S1k8sb6v38FqzG6 +NtaH4B590AvI8alAqw7D0+gowjtvHFrDBRNEQLbeLg0mpDWGq8EsVVb+/UHwojDAfhkH0yrnYyRU +bTRKy72tczmnIUTYp176SLmMnvkxW2mN27746DmODNeAfwuiN2nYGlIHrmRECbKtQbAQS9UIRAX3 +mFipzTdwsyql4vOy8DYgeeyjGicGjLu9Ea3VxlhfKQmmSNr5ownBQmgkCvHLmaE/9tT+L5xV8+wM +KbA1Df4ebbcYBCcDe/6f9Jq/dtuUykhiGGt2qHGR3J8cYGCLhY4399WzyWTGAyOd2eSBgQCHgVC4 +fpkgDtou6t8jayCLdSj4q/+zC2B1wzL2Iy941coV29WEKkon4dPGFLXjbTRSjhtsQpMVhMxn9ocF +tBZZBCq7kto/zcripINHPwd8oOv8mz4G5sM0vOq41parWrOr1BWqGttE49yf5S1igDkmWsHgkyIB +1XE0ReUzM53wv98af3bFEoeX/zJvVD4roW7dFaEIj87A5A10GDJsFkH+fZUxn/bTxkdy3WyPF3Mj +vYaAh8dk3ISEUQxYpv4qe8Alrc962o10b8fg5venAoIu0KX2w8mZM+sXdeBITKZsCI4oOLfNa1VG +SwfenLWPQxLUCOhAaEzesvTv/BNaiKL76Z5YblluFwIeRvUtlKwUBekF6glYOcHZDxzz3KqKp0n3 +z37GJERq7g/AGyhA/Lr0qkkL8oU/PDKbij38nT6ylvXrkFWcFcS9+fWe5MpgTYiyaqywPVKJXdRu +meHK4rZfd3ghKAZ3mA8m6J3TMIfYdvYE/pMJUOSG9eqIDBzppRBETDNcrkl8ap+PDZeGbCi/aFee +y4I0z1ynbWsIlUSXkyEmcfp7KDLWV3RdkRX2hmOwLtxJx9v3Dw4gyleM4oBsFBAl8SpCX+AnV+dO +leRnJESs3NFdYIRSp6UVRjDj8lhHxPZfMIIDCnINWPyqDe9kt1dYR6tRJQAY+xfhwgtwax0fy5tO +D0/mETGaUQXtr2fGmccobPOM0T99NHkouK6udt+DAkysUZl1NgwvYpFV5hG5C4XGNvKGrL9npoM3 +r/21QMv54mhwG+h5ZnFjui+cmSP1YLj8vY7N0e9QIiGZP0LUs/VhJFzbpe/YBcJR970EOK/plHG0 +dEFE8UvhcR8KDB+31lqHcvs3vpazo2Xhdd13fIkykx9Ta5gflov8bgKHg0zx5ANVhKiAybP14Sv+ +xC5oyp/fvyiPDqGc+Tw6CqDZKeI9WgIZTN/41aF4l9N6x2hlpkRwQOX3EVA3CwGnkZtfXCvq2rwD +4F1uq0he6HeEQBrDYwSHPbBlI2zhMlVtxhmoehaeRnsgADKT59GTt4QA1fLb6Kuw2K3QjccHQBuT +Dk7oXm2dX6M4hA0yE98n3dB5wHhiwMh0a87kFUS9zQQT/SCacM3TdF6MV4387UmODGvlHjAj9oYF +aBFcqYPdbUYlQIT4sZbXzFOQHkorC8SW0amnB4CNUS2YkD4vVzd9cc/e2W7QW+D71kAFQ4WOo6U4 +Qrap9hUHWhszPDuF7rrTEP7X3yfqyLL4JjQJ/9UFYaFxpDu0853ZRHLoHUqII52NEjLJxH/jIQBn +E0IAhQCR12nCuUlnc10FB9GQA6OfLqVDd0RnI95gLr1rucE9K9R7O/cnItb+xY57J2MMhAqjV5Kh +3uokNDSnYfpP3Fy23yIiQfT3F7akd3I78CT0zffLiL/vdnyMkriEnmbf+bj487rIBM5FE/OzsKJ5 +9Xygw2ADhbTX3k2sn3rNmx9aq+EG/zh/mAZPMzSYKly6Jy5G9jH2UXG+GvkTthk8OTnca4dS8JjH +BGH3pyL5ZfKivPvXBxQzcudezmTFp08f5uv2gbmTPR654OdsuWmr6wsoTpfcEcDkKVqsSYncQS+z +/jckRwUf99e0CfomK7HezmqeunEUVjEK/VGHjg0SkBDNyrA9z1A1ryzZx8ra1X1ZrrajQPeahiXz +9WrbWOxEK8DP25qVPFXNp8h4lCH2WzmgTjJgcoE4k6Ccg/i4CsUQmXu1OTwksKMcO7a1iY0OLl8N +G9GSE9y+39g4+RpxaAhhFz54FQ8bGp0zP8tfmT8hOby3pcHSnbIhZLYtAei4UeXWlaYAkZnNk98Z +v/mCRPZhOIaFqj8ll1B51c98jiBVSoKyUxeOVGPngXvavCI9EE37vOLa1yym9rZZ18EFukNYLKpH +0sqRqZqQhdJRR3xhCrfEDjPF7waP0cFg48qC9D4BbrSmcewXqeV+FrH8IiXMlpKv7gxsF/sZzM1B +I+eauxEXyClgsvUFUKNVkb2gGlutPVnKpnHoL/eGFTfWMWjdQ4LQBKZXiSqY1gWW3pn/QQC+FkYV +aT0NS+/6HqUF9ZlprQ6/6gkeaUKqoL6sWZfxGo/yjP8Sq15OJKB4k9MRCUin2Ocm/DSgT1Xlwo0t +0vNVxBEiAbEbkQzdKnO8hamCFpFYcqHasJdve2ws06YI6fwPv2D6T56YQgSRtJ/j69OfecpFCyXE +axH1B+/wlZVG51NiK6dRbRMjbBf6Hu5+xJbcp0pbVVJwswasgqxt1cxinKY46g9m9WkFL1VLZbdW +4n+8a39LasYJnoc+FrToO1sLkk4e/Chorl6ykcqGgcXZEEc+uzDThuOu52qt/yNXpBQcPXBn5BUa +6XNjZibOxgZdr5mFZADGpmqPe1bvHnAK0nneuuwq/UsQJyDfkgx8cjmdJjEBGw2NWEiyOIQak5+V +ln7qnCpuABvGbeO/fk5RaBm3yVVS4IObHhj3jTNXr04Dxj3ljZYfVcRgMksGDUJQnujDJNBi3ZFX +46HZkbAbUiuX+TNr5o67JGZd6WgO0CF4HmeCxSxhKHfJtA1hx/yFQxvbQy5zc54XdNoKNurVSlSx +imJZHV6x8hqxUrl1XgEpNHCTx9EhbXf5/y/IZDXltXwd6Zjo27BQjO6xrZPZT/CJzMrt1zM4aWFz +18iyBL8Dqx/S3hoDm+AUDGVPjo96tLufTaB/CYW7q4tXDonvA8FI+EWq8VkTGYcCpks6iTXNm21m +UbLiuW99WcpcUm1YzhxCqkfXZP9Fonf3LUjCP5zGp0PPwl8F1rvBjPtduwAlrnttKVQVxIegtxFp +BP2oiE+A+O/vklolW2Al/tfKGj3zm8jhgv89C6dEIBiZwaaZ8UrDfelV32c8c6jPnS0Bp40VFhyx +GX9e7QdUXmEkt4WVM01Y3Hw/3O/5i0qwBQTyFPlUI9ustk7Pgg64eCOe+EKV/FtxDTh+STt04bM4 +pMs6NTlZg0TIXQZ/QsWlCBHNgPyZTWNS2PNucaLnefBehHxxKf/errR1OLmaGEM36XkDOfsDXtO6 +ig6cZXUtE80/q1xOTPuZM7ES69w/ZMjySWfFrhdJIhSjdhIeQ6adnNdUyAW8UZJzVv8kYHyv/qko +bR80RZYeN6660x0+7RUy1gS3KkzoEJtxy7sgbPLNKRk6wWPdoz/X1Lob3mgBiJd2kzruQ/lmxLIu +di4VXi6sRYCux0BpwIHv7HqItLReMgRd9LZgpSLC22R0Ern4AP7DAGFFZyOXXAfOpPhplx2Cbi8P +FyLs4mrX2wZWALwyY2/SL/P9ZtLxmo5Q6+CAZ+umPWANbeDR6MMjg4fJm1OoV1oHJ3n9EmZldbT3 +SVdzcUpEGQa351n9KJtZ5q5EZ520CtRsoF5csmN1Zk0T3ZzljcAP4An/PmuRDPe32vCg2a59XOSZ +Xjuyw7WCe+i6Nnozda0cjamKDY8bXWiWe+kXCDGs2i1dy+h6iuY5Lt2EwhxPrDEcsnEYW59YJY+4 +rwYU `pragma protect end_protected module DVI_TX_Top ( clk_d, @@ -7245,8 +10436,8 @@ wire GND; ); game_loop arkanoid ( .clk_d(clk_d), - .btn2_d(btn2_d), .frame_Z(frame_Z), + .btn2_d(btn2_d), .btn1_d(btn1_d), .sx_Z(sx_Z[9:0]), .sy_Z(sy_Z[9:0]), diff --git a/impl/gwsynthesis/Nanoid20K_syn.rpt.html b/impl/gwsynthesis/Nanoid20K_syn.rpt.html index 634d508..4eab22f 100644 --- a/impl/gwsynthesis/Nanoid20K_syn.rpt.html +++ b/impl/gwsynthesis/Nanoid20K_syn.rpt.html @@ -89,7 +89,7 @@

Synthesis Messages

Created Time -Sat Jul 13 06:44:52 2024 +Sat Jul 13 08:05:23 2024 @@ -105,11 +105,11 @@

Synthesis Details

Synthesis Process -Running parser:
    CPU time = 0h 0m 0.281s, Elapsed time = 0h 0m 0.36s, Peak memory usage = 541.723MB
Running netlist conversion:
    CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s, Peak memory usage = 0MB
Running device independent optimization:
    Optimizing Phase 0: CPU time = 0h 0m 0.046s, Elapsed time = 0h 0m 0.038s, Peak memory usage = 541.723MB
    Optimizing Phase 1: CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.023s, Peak memory usage = 541.723MB
    Optimizing Phase 2: CPU time = 0h 0m 0.093s, Elapsed time = 0h 0m 0.085s, Peak memory usage = 541.723MB
Running inference:
    Inferring Phase 0: CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.017s, Peak memory usage = 541.723MB
    Inferring Phase 1: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.004s, Peak memory usage = 541.723MB
    Inferring Phase 2: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.006s, Peak memory usage = 541.723MB
    Inferring Phase 3: CPU time = 0h 0m 0.031s, Elapsed time = 0h 0m 0.004s, Peak memory usage = 541.723MB
Running technical mapping:
    Tech-Mapping Phase 0: CPU time = 0h 0m 0.062s, Elapsed time = 0h 0m 0.06s, Peak memory usage = 541.723MB
    Tech-Mapping Phase 1: CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.023s, Peak memory usage = 541.723MB
    Tech-Mapping Phase 2: CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.019s, Peak memory usage = 541.723MB
    Tech-Mapping Phase 3: CPU time = 0h 0m 2s, Elapsed time = 0h 0m 2s, Peak memory usage = 541.723MB
    Tech-Mapping Phase 4: CPU time = 0h 0m 0.078s, Elapsed time = 0h 0m 0.077s, Peak memory usage = 541.723MB
Generate output files:
    CPU time = 0h 0m 0.062s, Elapsed time = 0h 0m 0.066s, Peak memory usage = 541.723MB
+Running parser:
    CPU time = 0h 0m 0.437s, Elapsed time = 0h 0m 0.447s, Peak memory usage = 541.723MB
Running netlist conversion:
    CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s, Peak memory usage = 0MB
Running device independent optimization:
    Optimizing Phase 0: CPU time = 0h 0m 0.046s, Elapsed time = 0h 0m 0.058s, Peak memory usage = 541.723MB
    Optimizing Phase 1: CPU time = 0h 0m 0.062s, Elapsed time = 0h 0m 0.033s, Peak memory usage = 541.723MB
    Optimizing Phase 2: CPU time = 0h 0m 0.109s, Elapsed time = 0h 0m 0.113s, Peak memory usage = 541.723MB
Running inference:
    Inferring Phase 0: CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.023s, Peak memory usage = 541.723MB
    Inferring Phase 1: CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.013s, Peak memory usage = 541.723MB
    Inferring Phase 2: CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.009s, Peak memory usage = 541.723MB
    Inferring Phase 3: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.007s, Peak memory usage = 541.723MB
Running technical mapping:
    Tech-Mapping Phase 0: CPU time = 0h 0m 0.093s, Elapsed time = 0h 0m 0.096s, Peak memory usage = 541.723MB
    Tech-Mapping Phase 1: CPU time = 0h 0m 0.046s, Elapsed time = 0h 0m 0.028s, Peak memory usage = 541.723MB
    Tech-Mapping Phase 2: CPU time = 0h 0m 0.031s, Elapsed time = 0h 0m 0.029s, Peak memory usage = 541.723MB
    Tech-Mapping Phase 3: CPU time = 0h 0m 7s, Elapsed time = 0h 0m 7s, Peak memory usage = 541.723MB
    Tech-Mapping Phase 4: CPU time = 0h 0m 0.093s, Elapsed time = 0h 0m 0.128s, Peak memory usage = 541.723MB
Generate output files:
    CPU time = 0h 0m 0.078s, Elapsed time = 0h 0m 0.09s, Peak memory usage = 541.723MB
Total Time and Memory Usage -CPU time = 0h 0m 2s, Elapsed time = 0h 0m 2s, Peak memory usage = 541.723MB +CPU time = 0h 0m 8s, Elapsed time = 0h 0m 8s, Peak memory usage = 541.723MB

Resource

@@ -141,19 +141,19 @@

Resource Usage Summary

Register -357 +427     DFF -24 +75     DFFE -20 +28     DFFS -11 +20     DFFSE @@ -161,7 +161,7 @@

Resource Usage Summary

    DFFR -12 +14     DFFRE @@ -173,43 +173,43 @@

Resource Usage Summary

    DFFC -73 +72     DFFCE -166 +167 LUT -742 +1057     LUT2 -92 +147     LUT3 -181 +270     LUT4 -469 +640 ALU -139 +137     ALU -139 +137 INV -11 +12     INV -11 +12 IOLOGIC @@ -253,12 +253,12 @@

Resource Utilization Summary

Logic -892(753 LUT, 139 ALU) / 20736 -5% +1206(1069 LUT, 137 ALU) / 20736 +6% Register -357 / 15750 +427 / 15750 3% @@ -268,7 +268,7 @@

Resource Utilization Summary

  --Register as FF -357 / 15750 +427 / 15750 3% @@ -383,8 +383,8 @@

Max Frequency Summary:

1 clk 25.0(MHz) -149.6(MHz) -11 +118.0(MHz) +14 TOP @@ -854,11 +854,11 @@

Path 4

- + - + @@ -866,11 +866,11 @@

Path 4

- + - + @@ -912,7 +912,7 @@

Path 4

- + @@ -921,15 +921,15 @@

Path 4

- + - - + + @@ -937,15 +937,15 @@

Path 4

- + - - + + @@ -953,151 +953,199 @@

Path 4

- + - - + + - + - + - + - - + + - - + + - + - + - - + + - - + + - + - + - - + + - - + + - + - - + + - - + + - + + + + + + + + + - + - - + + + + + + + + + + - - - + + + - - + + - + - + - + - + - + + + + + + + + + - + - + + + + + + + + + - + - + + + + + + + + + - + - + + + + + + + + + - + - + - + - + - +
Slack33.31531.528
Data Arrival Time7.3979.184
Data Required Time
FromDVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/din_d_0_s0arkanoid/score_counter_7_s0
ToDVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/cnt_4_s0arkanoid/score_1[2]_1_s0
Launch Clk 0.587 tINS RR206276 clk_ibuf/O
tNET RR 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/din_d_0_s0/CLKarkanoid/score_counter_7_s0/CLK
0.942 0.200 tC2Q RF37DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/din_d_0_s0/Q14arkanoid/score_counter_7_s0/Q
1.146 tNET FF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/n688_s1/I1arkanoid/n7053_s12/I1
1.625 0.478 tINS FF5DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/n688_s1/F16arkanoid/n7053_s12/F
1.829 tNET FF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/cnt_one_9bit_3_s13/I1arkanoid/n7054_s15/I2
2.3080.4782.2200.390 tINS FF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/cnt_one_9bit_3_s13/Farkanoid/n7054_s15/F
2.5122.424 0.204 tNET FF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/cnt_one_9bit_3_s12/I1arkanoid/n7054_s13/I3
2.9900.4782.7440.320 tINS FF4DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/cnt_one_9bit_3_s12/F1arkanoid/n7054_s13/F
3.1942.948 0.204 tNET FF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/n630_s1/I2arkanoid/n7054_s12/I0
3.5850.3903.3940.446 tINS FF3DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/n630_s1/F11arkanoid/n7054_s12/F
3.7893.598 0.204 tNET FF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/cnt_one_9bit_2_s16/I0arkanoid/n7055_s20/I1
4.2350.4464.0760.478 tINS FF4DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/cnt_one_9bit_2_s16/F2arkanoid/n7055_s20/F
4.4394.281 0.204 tNET FF2DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/n237_s5/I11arkanoid/n7055_s19/I1
4.9310.4914.7590.478 tINSFRFF16arkanoid/n7055_s19/F
4.9630.204tNETFF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/n237_s5/COUTarkanoid/n7056_s22/I2
4.9310.0005.3540.390tINSFF1arkanoid/n7056_s22/F
5.5580.204 tNETRR2DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/n236_s4/CINFF1arkanoid/n7056_s21/I2
5.3360.4055.9490.390 tINSRFFF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/n236_s4/SUMarkanoid/n7056_s21/F
5.5406.153 0.204 tNET FF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/n603_s6/I3arkanoid/n7056_s20/I3
5.8606.473 0.320 tINS FF25arkanoid/n7056_s20/F
6.6770.204tNETFF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/n603_s6/Farkanoid/n7059_s3/I0
6.0647.1230.446tINSFF12arkanoid/n7059_s3/F
7.327 0.204 tNET FF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/n603_s3/I0arkanoid/n7127_s1/I0
6.5107.773 0.446 tINS FF2arkanoid/n7127_s1/F
7.9770.204tNETFF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/n603_s3/Farkanoid/n7139_s1/I3
6.7148.2970.320tINSFF2arkanoid/n7139_s1/F
8.501 0.204 tNET FF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/n603_s1/I1arkanoid/n7141_s1/I1
7.1928.979 0.478 tINS FF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/n603_s1/Farkanoid/n7141_s1/F
7.3979.184 0.204 tNET FF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/cnt_4_s0/Darkanoid/score_1[2]_1_s0/D
Data Required Path: @@ -1131,7 +1179,7 @@

Path 4

0.587 tINS RR -206 +276 clk_ibuf/O @@ -1140,7 +1188,7 @@

Path 4

tNET RR 1 -DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/cnt_4_s0/CLK +arkanoid/score_1[2]_1_s0/CLK 40.712 @@ -1148,7 +1196,7 @@

Path 4

tSu 1 -DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_g/cnt_4_s0 +arkanoid/score_1[2]_1_s0 Path Statistics: @@ -1163,12 +1211,12 @@

Path 4

Logic Level: -11 +14 Arrival Clock Path Delay: cell: 0.587, 79.092%; route: 0.155, 20.908% -Arrival Data Path Delay: cell: 4.412, 66.296%; route: 2.043, 30.699%; tC2Q: 0.200, 3.005% +Arrival Data Path Delay: cell: 5.381, 63.750%; route: 2.860, 33.881%; tC2Q: 0.200, 2.369% Required Clock Path Delay: cell: 0.587, 79.092%; route: 0.155, 20.908% @@ -1178,11 +1226,11 @@

Path 5

- + - + @@ -1190,11 +1238,11 @@

Path 5

- + - + @@ -1236,7 +1284,7 @@

Path 5

- + @@ -1245,15 +1293,15 @@

Path 5

- + - - + + @@ -1261,15 +1309,15 @@

Path 5

- + - - + + @@ -1277,135 +1325,183 @@

Path 5

- + - - + + - - + + - + - + - - + + - - + + - + - + - + - - + + - + - - + + - - + + - + + + + + + + + + - + - - + + + + + + + + + + - - - + + + - - + + - - - + + + - + - + - - + + - + - + - + - - + + + + + + + + + + - + + + + + + + + + - + - + - + + + + + + + + + + + + + + + + + - + - + - +
Slack33.87232.108
Data Arrival Time6.8408.604
Data Required Time
FromDVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/sel_xnor_s0arkanoid/score_counter_7_s0
ToDVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/cnt_4_s0arkanoid/score_1[5]_1_s0
Launch Clk 0.587 tINS RR206276 clk_ibuf/O
tNET RR 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/sel_xnor_s0/CLKarkanoid/score_counter_7_s0/CLK
0.942 0.200 tC2Q RF20DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/sel_xnor_s0/Q14arkanoid/score_counter_7_s0/Q
1.146 tNET FF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/cnt_one_9bit_1_s20/I1arkanoid/n7053_s12/I1
1.625 0.478 tINS FF1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/cnt_one_9bit_1_s20/F16arkanoid/n7053_s12/F
1.829 tNET FF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/cnt_one_9bit_1_s18/I1arkanoid/n7054_s15/I2
2.3080.4782.2200.390 tINS FF2DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/cnt_one_9bit_1_s18/F1arkanoid/n7054_s15/F
2.5122.424 0.204 tNET FF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/cnt_one_9bit_1_s15/I2arkanoid/n7054_s13/I3
2.9020.3902.7440.320 tINS FF4DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/cnt_one_9bit_1_s15/F1arkanoid/n7054_s13/F
3.1072.948 0.204 tNET FF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/cnt_one_9bit_1_s14/I0arkanoid/n7054_s12/I0
3.5523.394 0.446 tINS FF4DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/cnt_one_9bit_1_s14/F11arkanoid/n7054_s12/F
3.7573.598 0.204 tNET FF2DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/n238_s5/I11arkanoid/n7055_s20/I1
4.2480.4914.0760.478 tINSFRFF2arkanoid/n7055_s20/F
4.2810.204tNETFF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/n238_s5/COUTarkanoid/n7055_s19/I1
4.2480.0004.7590.478tINSFF16arkanoid/n7055_s19/F
4.9630.204 tNETRR2DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/n237_s5/CINFF1arkanoid/n7056_s22/I2
4.6530.4055.3540.390 tINSRF2DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/n237_s5/SUMFF1arkanoid/n7056_s22/F
4.8575.558 0.204 tNET FF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/n603_s6/I0arkanoid/n7056_s21/I2
5.3030.4465.9490.390 tINS FF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/n603_s6/Farkanoid/n7056_s21/F
5.5076.153 0.204 tNET FF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/n603_s3/I0arkanoid/n7056_s20/I3
5.9530.4466.4730.320 tINS FF25arkanoid/n7056_s20/F
6.6770.204tNETFF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/n603_s3/Farkanoid/n7076_s1/I0
7.1230.446tINSFF4arkanoid/n7076_s1/F
6.1577.327 0.204 tNET FF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/n603_s1/I1arkanoid/n7102_s2/I1
6.6367.805 0.478 tINS FF2arkanoid/n7102_s2/F
8.0100.204tNETFF1arkanoid/n7104_s2/I2
8.4000.390tINSFF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/n603_s1/Farkanoid/n7104_s2/F
6.8408.604 0.204 tNET FF 1DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/cnt_4_s0/Darkanoid/score_1[5]_1_s0/D
Data Required Path: @@ -1439,7 +1535,7 @@

Path 5

0.587 tINS RR -206 +276 clk_ibuf/O @@ -1448,7 +1544,7 @@

Path 5

tNET RR 1 -DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/cnt_4_s0/CLK +arkanoid/score_1[5]_1_s0/CLK 40.712 @@ -1456,7 +1552,7 @@

Path 5

tSu 1 -DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/cnt_4_s0 +arkanoid/score_1[5]_1_s0 Path Statistics: @@ -1471,12 +1567,12 @@

Path 5

Logic Level: -10 +13 Arrival Clock Path Delay: cell: 0.587, 79.092%; route: 0.155, 20.908% -Arrival Data Path Delay: cell: 4.059, 66.567%; route: 1.839, 30.153%; tC2Q: 0.200, 3.280% +Arrival Data Path Delay: cell: 5.006, 63.677%; route: 2.656, 33.779%; tC2Q: 0.200, 2.544% Required Clock Path Delay: cell: 0.587, 79.092%; route: 0.155, 20.908% diff --git a/impl/gwsynthesis/Nanoid20K_syn_resource.html b/impl/gwsynthesis/Nanoid20K_syn_resource.html index b817cc3..6299dc6 100644 --- a/impl/gwsynthesis/Nanoid20K_syn_resource.html +++ b/impl/gwsynthesis/Nanoid20K_syn_resource.html @@ -41,7 +41,7 @@

Hierarchy Module Resource

(C:/Users/Petr/Documents/FPGA/Graphic 2/framebuffer/1/Nanoid20K/impl/gwsynthesis/RTL_GAO/gw_gao_top.v) 193 - -195 +191 - 13 - @@ -50,16 +50,16 @@

Hierarchy Module Resource

(C:/Users/Petr/Documents/FPGA/Graphic 2/framebuffer/1/Nanoid20K/src/game_top.v) 56 30 -28 +29 - - -     |--arkanoid (C:/Users/Petr/Documents/FPGA/Graphic 2/framebuffer/1/Nanoid20K/src/game_top.v) -56 -40 -310 +126 +38 +629 - - - diff --git a/impl/gwsynthesis/Nanoid20K_syn_rsc.xml b/impl/gwsynthesis/Nanoid20K_syn_rsc.xml index dfb1c63..8abf5b0 100644 --- a/impl/gwsynthesis/Nanoid20K_syn_rsc.xml +++ b/impl/gwsynthesis/Nanoid20K_syn_rsc.xml @@ -1,8 +1,8 @@ - - - + + + diff --git a/impl/pnr/Nanoid20K.db b/impl/pnr/Nanoid20K.db index 87cd775c5393043276b0fb862af70c892a14339f..10c390215a490735b27caf0dd1fbfffb8a4dfd87 100644 GIT binary patch literal 34080 zcma)_b-W(cwT2Ha2?+tBB!mb@6Ep;OcXxLP?(XhTFQqMYrS3{wO5NR|?(W8YpY^V_ zPVay0`&(t!bJx6k&pUhe%s1b6;K7v#1||#)3``%`M*r)!y#8_Vz?@14meUq-@xXcm zTgBGE05vo-Z?B+#tP*Ty*R800)nK#4+*Z;*R%?dxz<`D^u)5-s0rqu63x3tWmIK4d z&|-hs{A6g+4{W_;XfYnxjAR@q@#w(pfz1Yu*TI~}05mSUj-HY-LyFtE1r4U)}_ zqZ}AmNBLkgj&fjNs$xj7G{$28rYXlGGoKy$8foV7;l*e(ZK%yuj477roU=`vrC6ju zZ)TqDb(Jq}=6+`@->{iB)R;LNDLfX=mOb9MnU4dHH&IN}(M*psZI3q%HmqZ}e|Wr^ zVup@pTFyT_UJ`6nepnBWH}5)asNwNah4*zG9&ZtheeD$w@OWDV{nq&Kc)Moq1CO_F=5vI{J1C~dz8)VQ?-*=I=f?Zu@lMHR zrZ0HBbFg9c?K(W(CD@>tJ;38#yUu;!@ovpL7ChcP*ig@LJl><3_3(JlWV6y!Jl?CB z_3(J_WHqnwc%Nq4P{ZSW71K5Qr{|dQc)w=$9*_5LrVTYbK0x7peQbDqV6quGK0H3C znZ4Rk`N7G`Q#?MTnU5Eb4{fFmH9S5{LBDluczk%WI&XMpH^YW0OtGd4R{q1sheJeZk}771K3ir{^5P;}g2h z*B~CB7;H@a*n2!aDcG=%*9?zOR!q~}ot0}M9-pF^uH&tB5|2++aDCK#!Q<1SZbaM- zk55e5V4vnlE^KS2OR6$9FgL`M~3QnrTA~kMC8?(EYNWRq*(}WHs0D`2J@09*-YL zR?ilA{9rTpdxP?41!LXyIl|*-H**~xKc|_!$K&TVTSZ&;_<6~u<=nvI=O?Rc8joMl z%zfbT3zOCH;_*YxJU%>rQ8Vw0#}6l~^M=PS4mPZ3E$@rRFH!gz#AC+emqs1ekr^I8 z5{z}o=M9fv7L0Y*b$I-8#k5$*U5Cf7h&t9aj}MPu+06Um@vDMyo%_7u@vED;4v$|G z3_bUO$FEf|$7`c8y>$!fnJRTkKY=MHOJ$7METnk__ucI;qluQTt{o?@#672n%Pr4 zerGW53-%9>-xZAW=05QF-OaS2hR5$wupU$$9=}(?{iCiKJbqu);guU6zhA+z)p6kQ z2ZC{IK1X=`K?Odqo&MqRhqA619)CFM7_&Xa|kNyFohD`tq*`iI9)D5zT}J;mcsL>-@9-0=95!MLA$EO`8>WOeVs z<4*^}KiA>$XOh)=fXAQhI-fT@{#-E5hZ`P$KG>l8wO4rj1;y4eW-~ngqGD;B-xaiF zkH6H+b&o0kaxmtpy~5+KG}DF}9)DGVUe)38*P3ZV4UfOBSQ_Wta}tlgk*wwh9)Gi$ zHq`L=TMG6q@A3HC%{*p2{!X%KdEdh0?{=M!7mvReY)pFI7mvT+%;Ur3A2id38XiBX zU@TMhPZ}OSr7-ipc>Kd;HLvjaN6G3r0*`+jjInrpc>I%KT<2zZ{L^5AV(tTve->=0 zeZk|OH`9h19{)nIG(KN?Up)S0Gi|8h@vjt1wZ2RTsNwOi6=t3Xc>J4Yo|Aa|+h*gAMk%#N)p-b02v8*Jj=q zkN?)p$AQOxPgeIvJpM;B?~BL(OjdIekN?$78)|s`Z^cr57OHcJ$Ny<&U-0<9!B`VL z7Ce5snft|KPCw_P<^dirm#pS99xoq^b<%y{@e0XmPU7*3&FmE(uM~{2nBnott*1l{ zk5_4?4K+MoHCc@hk5}tD>*4Y0UFY%P@q}RXYlg=Yv#zdbJYFLh9=PH0q+mm0_79II z2jla;8y>Hjb+s1b@swun7mwHKeYK&6$7=`UJ&n%?9WP{ZRn%{&%7Uay(Qg2!{4`FQbo z{bn8u9?wfwYa$-cZ>9}3JYLYu{o?V$X6_e{H)!U5@pv#8=hqC6hk}j255wc(V64xs z!{d>zi=l+aqs?50$78|R*W<(EMa_J?c)U2+`1>$C-msZA)bMztVB_z@@Oa~3E~g3+(N!sA_=gNo7fWF6iff-85oZb4#x5NIPmz8V0<=k!{bAf)pI8v9~NxhsUP|8-Krx$EP*Zh8iB9-pu>r@fpp0YCl=xy{@!9-o)2-tXe^`ORF1#}@=+-kag^ zg~6DU?iY_QQt(-~?kRYDakEuoFnD}Pup!midpy20>q-xgFY7vOsNwPD%>sqTR|KP9 z>*4X03f@WAdp$h9O2PY*Vt9OY)WPgC9$%y2T~*yv@c7!St9R0Pd|lW1*zoxJWcAqq zk8cRZyE!*JzA;(NH9Wpa!T9R?BRsx2>Uhr9h8iB<(#*$;$G0|fA9#FQvbwM0@$Jd# z85581NLJ5qczmY<|7tD9LUE?gNjXm#mH#kDs5c z{KMlHBrE^$_=Um7{lnual72$pI!?&%aPfGpV6*i-t-sgBW7dA^is3PH=LvoPJ0vOZq9K$emL3eeAj}g z*CqOoG}DF}`Y%)PoyfZRUJCt}D;Ue{oPX%QqM7y3e`PbzUG!fSY()F|{Sf-E?mDk8 z=)XoWO`k9Ek%sSvNb+2l{Ubwy^8ae`~TisfYgCl9i|Ezg@xcRv+lUBkSg5zv#bHv1$0? z^Naqw6x-{3%*nB!|L$ZpU(kP#VrL!4oIG#nzqgt7(0^YuZK$FD{$_zf{{zWp=Y0YF z4>t4pK>tI{eBRLia5MLd{$q;m!)I-%q5lyDW9HhSq5sij>t?^`e@wx?>*hL%{^QNu zFZv&E=6=zCqM0_-(Emg*=D6$7|DbAHx--4JoUclf2*16(EoNbdw~9Tf-!ephyHi7uI2{%-%D2K z2>tH|8_{opd>rWipqcwX|H)?V1O2C(dCchlFc{aW_0a!OGy9DGkDFN!{htII>iLZR zPrJ^2p#QUG+E7FP=gI0Eq5q3yvvb~~|I4m(zv%xe*pSYL8~VQv#yRqFp#K}ivi~`k z=>N8v$A|v!nt5OJf8WfWqW_0x9t-+^OjhR&{XaF+h8p^RZsvZ`|4TFXi~e7mxnK1E z*3A8)|Mz6vb7|=RqnS3;(En#M_ly3&nz>)}{~e4qM;mJB|EHPvMgPCeyf6AsH}ja$ zXQ^2hYnaE3{&LC6EA*Fd#!l8>p_w++&|k5c`$d1HX6_gLm7BR=^jAq%UZKBgGxv-B zYR$BvhW_f!+%NhQ6jT4_9)Ibj4eNaPoTI-u*oZuJLx00$V>uS|H)`fO^fyj6nD;33H&IN} z=dHmU3;LTjv-jw47Ho0Xp}!>A!Wq{T-7H zXTRw0q~LwYddbk=IoP16_eFn~WOXjl-?i)P0s6ajozENkyLX+B7yUgH{2sW@Ir@7h zE3eSsOTlyda2^}_dn;y$jpg{z-$%i32S>9G{e2beyC~-x`ukc*m{s9X2 zVTS&J!4^r+=Mw#cnpqJ2gBAS7aKr2a{X>F{#czPY=pU+J-7P)z4~x1*x@O$aKRoJ2 zRi_O#^p8kZ*AeSwp?_Sm^^&1~ zyn@fLwXUImf`Yj_lJg4v6PtOypnp;@JT*iA2+Fqkmd3 z&bc^v?)3*yjWNGm{OcSLmOWtoB8JSup0V8~SG}e7v3;=${jH_@@mu z^v?~pNc-AT^v_eUZ|R|belY5^p@#ki3g%VSp?{&m_ip=#{zb{kQ}i!Rwm5x8|B_(b zFTF4NmnIuZhW=&2I9}JGe|fN>f%$n2qJM>g`&NAxNB_!TgSwabyrF-Ug7zIT(7!>!np6Fve`D0qhu0?b zZwkie88`HA4mPMdpEvYxQLyIJ{R915gDq5@8~V2eqYuvw^lxvb4K?)dP%xisT|@uQ zV1vDmqkmVjk@Oz@yMwX5_?kigo@9%Xp?`0(>I41z6r8s@Ui9x*aE|IT9r_PM-6D<9 zeW3qf)QyRGZlM3HVAQ#x|LkDHV)hUH=P3AnSUrQF|Jn+TE(SLE))$yYL zl4KjCFX+Ft>rk`)BgqzI9r`a*uy!uUv7rC*s2dTpSLnYY7v(H?=)WrJ zcwX~4LjTpt7G@vlza|)S*Lvu`R>5^t=MDYWMIFpzLI3qxSK~wf4N-@leMbL{$*KbJTGhK1b-kCD@3rIFAMWw8;T$h88~Pv0x}h8&`X3I4S6*|_e@wx>VkpOl{zsy2 zQT*)`-Jt)`VD#%bg#O2Zq33?lf4rG}LI2~y*w=OFKcS%CI$rcY(RH4G=zlU8eP}}s z{ZA=4-trIqPY2_<*z*AW&nQ?+26H~6|Jh{q*#-U21!G?y8~UG5R^Frkg*sNx z|HWXeiP}&@|4YF}`m-APUk)~``=EV6|0@cfMe81l{#T=pXBs#3zm}|?3DN($g8R%^ zo=fz<(RKC@{ckGx9-`{d|CYkfX4+6g|Jw>bZ+KtyzY~mS6`wcszpG&1dj3QIdtK*a zL;w51sPn$)|Dc&R)X;xY!Lw*Rzo7q=g0YO|c|-q)3i=&Q|Iq(Y)bX6?e$oGNFxGaT zOZ0!z%ysDhG}&;TH}roNjN|pb=>J^7Jgs-2=>HqzyInf3Luox?iII2L<=Mc{vvJ{}_z1 z`1(NqPYTx9V(9-_;W2AN4gJ3;(3_ic4gJ3+t7k{_{}znTByQ;cJ=s{EBlQ0fjAt(U zjQ&3rezq_}|6jpa@9hiv{|?4I#SQ)cDEM4f&xGjzHyF=XZsZifD9!FUESLw|LJ=Yfw6{RvSws&(A{p+8Z<_i76~vK1N7%9@P1JqFZ%P7)#qCD7btixsp}2> zg~{sLLVp7VdiAaf{lQ>7TezV=ly&tv0R7>v(}o)QBf-#fLw_{bn9iH$8v0`j=2i8J z{-Ug_@u9ys*do<=UZKCCg7aI?FX(R+bt9V3_6q%tgYgXPe$n5g>#T?Vrop(T&CuU0 z7}tld8T6L~8`8WoLx1yNV|^W=zf{4oRUP_UL>>EjuA#qWGi|7$zf~~SY>x%~t&`Pr zG5XsC;~KOc`r9g)Lvxd%zg^a?A3a!q`)2kE{T+hw4C03Vjtb`Pdg&kfJ4GGOIqnzz zotybuK!2BHbzeY#*I?+`KlFD?R^OGQzk9M8Gx~cZtM6UV-&4UnsB0Ddy%apt)U}2F z-U>f6XhRMCeVTc0puewzzrCr?e(3KPb=>3a75e)}9iIn%j?h0K>K64q9{mG@;i-K= z{~!fxSREVs2S*+Eefxs`Am5A$rzNX*0O+3{jCb`u zUi8lh#(i2FYUrQY%;Q7lHlv)U}WP4GQadU-WMb#=ag4`Zsl*$Bh2X z$?APJ`nM?juE2We-x>^0&CtJ1!9BVBL;v<*+(YdZ`ga85o??dnox!*dYC{eEyA-}( znxTKUf_DXVF44b7!RM_S3;Op4V|<=#=-;RCdj@T&p?`lc`n50UKcL`v>mG{!gI#Am z^q(GBV824Z6q5tBnD~A3{lGXZ*{!5e9I*$G$!G;Iw^C0>! zOIDvH(SLa`?#Z4*=)Xe2^-$~d5`|4yG$nt3efzc*Q}L+HP+>$IVU{`-S*4|Tujf1sH*)X@K6vUZrK3?>{n5@2=K>te$e;)Ms(EoDQ)jK@&zY>gls2loURq)xg z&L#R^i#paEZK$FD^aej!>Hrg)(!n1 zDR}QwYZLlEZl(=2^naq@9YwwKMgOM??w2(u(f?U9`-1+@gK-VIq5lg7|30$%?1%m@ z6?{)OFYD0%Ro2yg75!fa<65xK=>JB+wNUp8^nV+5qw>J#7yaKQtMiNg@4L>|4Eldi zFn8;mqyNXK<63Y-|4+?)KG6SjF!uHM(Em%Jo}JgE1b=>IDi^HdvZ=>IzybIoHx|33=uDRnKN|6c{qIrUBz{ihY2 z^O}F?bC|3-HUH3GPK;;Kx-X!=eAMxd(LSTUf*99oeP%*`#n^YSuMhNB3PzpBjQ+|B z#!|kZzlwsf)OCses=+uP5@)!|S8L|;hW_db{Ht{m{RvTrf4(=OKQS0ynW4W%u*J>L zpVW2k1O3U(d>rVn84Ul-(4P{F>&<_>bOVwIM81hjQ5jn z=x-2=_jUFF{XqrurS4nk4`p4w%SC@U>*_v@{z%r<`%d&ngE8;j2l`_Q?%mZd`iruz z-qE7JIO-N@P4sb~zhN-$``S=Le*^gm`b&ec)@efx{VkH!I}h}?48~_?_ksRa!T1d3Ya0En zgYh2Sa~J(>6!=&70Q9#_R`&|@w^R5&=sAi0_QCkwi2Fc)hh+6#D*8JH=pXEHq(Y0`nxGu>+1Uo^mkYAEMLDNK!1;@_wPFEp?`pa`+ogS z3;hFwagN;3KPVW#;q$)eAFQC?`fQK>AyLP>K5eL>e`qkCH|zoWhbg?ao1uSrvbw*a ze}sbTqwa&~9~pJrKRg!nj|#@U(Q^a+qk|3UEZGC}k5Tw}*T;tbvB}B<^p6Y1dll=U ze|)l9htNME7}vS`K>tJqb7R9~=${mf?_u50KRH=_*Ma^i!O(L<|5OFf0_8pWrv>Ak zrTazy^kfTiU-Zui#_xxG9O$2!b@iPC`e$Wbt%>L_Q*h1HJ1z9jjyl#|U;F5vldRrf zp?_{L)&n>6&&#@+2k4)ltlsCKe?c>!U-T~w#`$$a|Ds@g-f~0#;$VCy?BhlM5(V?L z<`DXqMjgK|_Hm$pSupM&_7wfg6@DJlh8p@;G;_b`U#Z|ZXTzNL=wB6eFgNtCjyl$M zj}QH8qK>h+p?_`E@tvU?`qu^Hy?{2<(7!$y^TiDP8=AQf^lwa7{-J-9VoM!uJtv}n zb2EE@{w>Ws7W8jTHZQMf^lxjX4K?&{Z{~f`zatpyq#OEo1{?p~9Qtd4>M{$?9_y`VVxS`$hl3VB^1cME_aAxWCye z^q-xqo*mJDPBV`M{pSW_EZR^*|9Qc<-mHiI^P72J^j{Ec{BH};e_^tE20{O!X5JV5 z7d3Mq=s%pS?osH!IN11{ME@lU{x-5cC!_z;V0;(jhW;apoi)R2%|ZWV!T1fXy+{A$ z3jPMQ?px@;A{f7;u=nV{GFiR5M*mgG>UhzAb+GY!Bl@pV?5s0X@A=VxZPdZs2l}r| zR@XlIuW#l$^xqI{{CE23zcE=oTcH1FF#7QEqW`9>tLGB*-<+)OQRu%VS=|@Ve`_=A zq5rmE^x=m7+q14ducH5sWOc7V|DDO|K7;uSA1|2@gdd-UI%td1A` z_cgN~`tMh8KI-?0=zk#U#-CNt|6sB@4)i~y@V@o{{SOD@w^p9d=s%{|RCmTYHuOId zjQg)P)X@KEFy5D#q5rX9y>4o#j+L-=qIT)Q#Vh(f>p+o|`;A^gr3m zzM%i9VB>!ef&QnHRUhboCK!F#1N1+etj-(ypG#K0p#S+`c;Mqe{|n8mhyE9X(T6tF z(En1hdVWFw%fZI~mID2+WL=#%^uHR6e(eGJU(34syoLVPgN^_0AN_A6tLH29zZq=& zSqlAcDR$O~>t2igx1(guigFZw@9R=<5i|Hr}j?UBzB`acOa{@Zi(e;SN? zp6k&6Su>w=^nV_V`>*TJ|3$FzcWLPVvYF2t`oC)CebN7QFh1|Qq5qp?^|=xK-zKYP zJ@kJUY`E{!=>I+#zj1X#{|~|V%;|>yACuL)0`&hBjNh<$eCYo<7@wWBp@#lng7F;Y zhW=lJ@qK_B`hRO?J@o$`jNkFNq5qFy^x=m7KZ7ln&u-}dD;WJ+5B+}!<8Sud(Em>` z?!VelL;t_cyf6AsEBIUZdIm)}S8e8g(O<2Z`$d2CV0?G%hW>CHT5 z^k+2Fh8p@alhtz=`m>t3U-Z{)=6=zi9gKH)Zs^Zx=6%s$ubKBne{M668U6K}dCcg~ zYo-k~^yep=?`}hXK{NM@{=#PN7yS*IxnJ}L74x*l_WM8OL&@rUN%V)4)%_Cvk!J1# z{n2Fg`xo@bf{p*}HTsK^)psK3FAg^TH`VBG*v!75zfrOpGx{3`<2YQ0{wB%lo{avc z$?Ew9{mp`n|E(MPOOn;Pi~i=p#{bq0{iTZe;h#3t(BC3i-KWvtGFg4kg#K2+=vNzR z=x?2@egldAHo?aK_6z-O6;rj+)%iewqBI`Tr_)-C(O)B3%@_11C9C@?`jeB@zlRU~ z?G(IkT0PfY^6isN$oKN(J1BUcP5(6V9fOTFBj2f+&k^~~3VtszDbE}EE>X8g`+7c; z?;31O$K$z1zFV?M$;fvPHYz>Wk?+yW{gUt5%zcpW6^wq}$oE$8{(fRU|B>$#bz}PX zWNAZsJ4{D5X23;BTxzB^tceI`Fh!Mmk3vS0FpgN=&$ z*vJnF#`&-Z^gf& zepWEfr5pLOX7+{r>}K|!{2T?xHZkW0`MFWYJar>KFX~`EUh?ysdCcS&Br84g3l;cW z^M(APWaTsY#laYh?=$3=H1qM2U#j5y{qlBW1rdSf!p!LXa4>qcQm!BE=9g3}E zzL=5U8Fl09IQd<{n9r^wzq?rsFYs_crsspl6igN@$<$e+{9eULx5nfoArUNHJ~BY%D~&kgbyD3)n0u4{|@h0Ux- z{!lYttK=_gW#4nf1tD-pqRBuLw4x zIqrSQU)jw2lE12%_a%RIFs=_b^4DZtc}4!(X6}RhbLkAwWfSy%g#KNfZP=SKdKU<;d(f3%s$LjExYzoDvijr?&1f2&aU zBl3?2!+UM0kw4MQYdiTT6h24xkNlI#Cg(a%{;6gjGx?_#cu@Bv^3Mbt>h+%dvkHDI zG&#pY{y7ExPR?fm^3N;msm~kv7rM^lBmbg;-^r|*_bBo&b)Aom{L2dT*35H6{*`9h zP$U0pvhtMtYYN6!_d)WnN8Q4{hmwCI>Nsy6Gx;}@)iq82t*-OjApdr-A&t+C{5#F8 zNB-SloJ%wE?IQdFl=kbxRoUGPj@>PP3ujAyaDwfH=x_-%5Yi2$2)th;I=yU-C)Kyf69WV1wG%jeN~!)+3+N%zET&1sm%1ihS*6t|MQknfoQ58jLZ! zkxvW8dhbR)y_v^CKBJlYAfMUHeUQ%z#`xUG*G*RalFx4DKFH@Zb06gEHFF>2a~1P+ zF6;9&`T7dp71X_ld|t9O^Litnui$qwbsdo}h`LcR_e;Jo>R@i<8w4BcHJf};!TX%L z7RZOPuGU2I;bgV8laD0h-$PC#A8qEbkdGysoa+_&qGW63x<TRb7HKT*mwcCI_L+RwW-4wj5s`*U5 zd(?40e16II$hygSyySa!o#z$#UctC#w4p}6cd~j8Bi|<&p1P6m+e{m3Kksp+-o+HQ)R`~kWh8p=H$?7^HKeU-P)W{E0@cyO7LVmcy z^M#w3^COb2na@b%M=Cgun!DsjWnFnsesnO-kv7!Gj|s-UK1bxo24haTU-IJ=%)j!5 z{P?V^IZl2;*3}#$KQY-_*)RD?3g%=T2l>gtSpPg0@>7D1_W310RpB}AIZ1w6Gi|7m zpRVAYOkJzwXDF7$8tZvLex`!=FSSmRpVf6C7x}VaH`w@b zke?T9e9b05znS+XzaUwy2jmxao%S7 z?>e6&@+%Zu>s;1nKk_RTypyT1kYClzV4DQb;xz(w={Dd`K`^|FZpf37_%Gs?ZLPP-N^4~=03>p zZ00`5?`q~g$nOru_}s|vNmhN3-`mW6kl)wLeURVZ%zcnQpx}GSS~tkiems65?Ee-C zz0cJdzeKT2|0VCU^}pvRj#m6{qxWZ0$B3Ru9ix0Eb=;|*NgXTRGpUmWs=HLjdvY8n zNB#eg_f%Erd0#QA|KG*>FVIs{|95Qs7wMxU{%6%^Shw0Utm9qJGwJtX9mxLyG1I9o literal 25960 zcma)^WtbgDvP7>*W`PAWlEpA=mSvWinVDH;W@ct)W@ct)W@cvIUB5UPRXKkDT>GWf zc{V$$D!RJ5@12qUnzYfFy3uGfHRjQO-6qx_J2i&Xv@wy6h@Bck8*{}`qd^VL%*T`H zkI91#%sR<8rU*7j%xyCLF=aB88;u@{sT4amIM)p=_@0e98*PQ5#rd$2g`q`1u%U&a z#du)Ng)vX!n#SP9Y>mJF22Z2loaRQ0W=wC5#6DKS)*{B}8;!n-F$&Hd8nbLP`YCTwjMIMy z7uWkMZ!K&{>34u~JaU~5)S49S3N!nR7aapt+9yT@a(9v;t| z%wxvm`4mktU-yB>PY4Ud;qG-*{2EFR$Ta>?ug9xorPE!MUU!{Zf_xeq*EF`2zzK>13^Jl_SCubj;D z#p6|yxnDe9Rlz!_yC)v67ImEs?kO4`udZMn3@rBo9)cL~;> zwfY0&}2Rrj}J@cG2`*!$#kHG$44l*SDVUp!{Z|h8&DV? zAC-0P1CNhR=05QFm|*O&zD9U_Y%-4-kB>{{^^C{I7uH;^IUb*o%>C}K{KRCwfARRF z!UpP38XljlU~bLjKF8xzl6lN{d}=VfH^bx8N?pAkczk*?*Bz$(jKZ4Bb;IK`6-~On zO@-m{Sqj!cv;L&v@!1OABkDU69-ouU^Tp$H72I$2Ud7|{6s+g^OvdB$72dDB*6{d( zWcCV=FAUa}`zan@6pTH`bHn3{gE2mzi^rEJcrMiY9FH$8bwl+h4UaEVc(3z1z~jpm z-q);$$5%uh{d&H5d}U$vT@R11QgCkF+wu77Qdj-M<7=Xhy~+LJ@wHJ0^IF5>>q=cc z7mu$mY;f@ak8eGYXwyeYBhl90>Sr3mNQE)HR z&l-6AXfWPi+y@>%rr=%}T>8M{$CG((c>IKd{l0pN$4^Eb&mPYgkDm&L_ilLnbTIA( zdy2=;B=cDC_}OH>zIgmxF!o)K1&^N(#ygGc@c0FVeb#~6{fZYAbH`rdKJfS@#W=A# z7Ce4Anf=4#SCY98JbpEq_3-$$WF8+Lzg}2<{^Ic)!SLCA;PIQubfAXEZz;xU-xv%~ z!{fIV<8;qdhR5$DvmPG5o6KXu?&K5AgW2WbPM_KM%$;zGl` zo+&;TkH1dlKJfUP!UmRgg~#6}^EJog?}E{<8y zo(CTPoJIfEobI<~fEphEsxWgOc>G(iF4dXg@$boWpoYhPC^)yy7mxo;X0P!0 zuV5XyN8s^)l6f9@{NH5u6p#Ox%zAkIcQTI;j~OcKU=YV@csx-s_BHo`#}g;B9v)AU zOb2RsJZZ8(;qhd_xQ~1;9`{IQ@9}u@JlFHU<0-Pv43DP_)}Ct|kEcpzJv^Q|7;D_~ zz~gDMPKg>GPn*njc-%9Y4%G0tS1|P42Ojq>ta^pVeag85%R1nX=?bfRCm#0=#{J=b z@wi_y_kqX#3#;$ccs!u6+6Nvt1>^p(&v@Ki*no0x;qky=^y`MlgOce$4UeY}#(iWx zJRTgZL;JfM9uG;T12sGz8jO3!^T6X_$*hOR!;|^?;_-;W2D*#zcw{misNwObWcCk_ zM+a-m^^C`3lIcJVk6V)YTs&?~<}u@OTQZLskK2RsZsCT<9l^T4bHn4#WI9m8pcsy%i^_>QfXA9Q-of{sHP3AuE zc=lu-b9fw#`MM80o}<*&cYZvcGZ^p6Zg@Obu%y1xU!;{^*F;-Q4c3kBo52ltD|3n$Zo8XhkajQ4go zJYF=J4%F~?v1Hc6QfuzlrNzet9QcsxdM-uOy>FG@lu7=G2`*l!B`t^c)Uz9 z9jM{)vcdRVW`@Vh1?&EP4Ud-(#`k9S6pvR(=CR=Mipe}TJYGpLx1M?RJ2yODIT-I2 zuEXP16yr4C`aHtpRfBcEv*Ph;$vhT3UOk!DBp$Dk%zfbTn!)(&>~ryWtz@pliB(n#2yj8I7?~Czx z>ts4m!{cp|dA@kOZ8FankGD(a`Qq{R$=ojV2r*=Xkt}g6|LN_a%6|tAg)A>e|5L-IBQvJl?&qdVTSDkF3*y8XoUiSbf&w@m_`1 zYlO#pC-Z#qcpnAhtM?Wj?_28Xb03fQD|PkRjmP_!y85n+#|Mik5S-Xe*dTZSOuT`>Ke!6;|i+Q8$Jv(9S>k55Tv|M2)!#n|xP43AGMtonz?rx#ZJ!{ajwtN!8fnT1vV z@c694s(*NVcGlTHJU*we>K`8W(XbxXPcf%1KNH5|>4FW`&wc%KVm$6!>MFzIexcjUm`j-_}_0YdOSZkx6i~bdb z)pOCmQo;9JBg#C`zbfk5#JtC%e|2HQ%X1(7Yl1PB9^ni6*DBDf{i1(eF!a3s(7#?` z58Ma(Hzf0Yg#L}e7@rQ*(7#E+&(P{T(7#!M-iWd{p?^!%wdnT{JU;Yqjk;FVnW2AM zFwQkY|8~W!(TDc~^zTrN4;DiS{X2tkE#1(+ORg@j^zTk)5756SnGV#@zc-on z(7&&+x~|Z_KbieQ|AA!o5B&#|xnJ}jQjFL5s;B5boOSLO{YMI`YZv`T6`VV~tPS)Z zOXhyje>|D{MgNIpI#5IZ$zZMd?v4Iaibb?fR{zj{I+^v*e<&wg>3HTUcEi=)V_?_3S$I z-%sZI1N{$zaUYqX|6wrp1NV#mN6B=chW^LF+O!Vb2l}4`V;*Mce_Gh6(g*sV1#8#% z+|d6#Sck@9PtpG(na6_um%-@6V@Ch0VC*kG7yYk;F*i5#zX?X2$A|v6$=nC}-vw)H z)OCRV_lgOz4s@V~{twA~F8V(v^H|XTDVh64|L0_$2l~GhHo`*={a=&mKn?xhlDS{> ze^2Iq(f=cv`$hlHWbPOJzX}^!`bGag$#kHG{(qCXU-bW%%>APOcQE!G9jKwta+(nP zkk3VbqGUc7{fU!#%;-;&%wtA>(!xeW57wV7nF`dAN2MKbq`{*=kw zFZxpzHZs(#KXo$qi~cmpbfAX*w8`8r`aKo>|M84MzgIB!SRJUL-#b~L(C;J0?+dh) z^^E>>!MddAhJN2*onr16{eFeDm1`9G$$T#Q1A?{cT+a>trev-Q{a`IwhyK81I#BDc zKL!=nR>q9}^a_3^+*QVh{@|!<)9>xM-)7}Q3aev5f2e|Yy{=M+{;*&jdMos`M1OeJ z`JDm%5ehzE)^pJxso-6uqv)YODp;GC4%E;et?-yVKJ>>ZxE^)P=(hxGPhZe)Rq&2k z=Zk(@Fvjd_gnqk%pH+@3?~dqqL|vbORpFZx}<+Oy*#dLw~_w=y`uZe<20ys$NU<7mhl{=Q{Kk z3D%|g`kJG^Xx8~!qQ97e-&1I7=s*qq#TEQKzTSiAFA)qqj}QGNv(EjZzf>^Rnhw;^ zUs}O>u3n+PjDp`ouH!?0Sq0aq&IA4Bg0XLS9_TNxV7=7)4gD3e&T~V5#lkwu{ek{U z!8&!e=YjspS?BA4{wfN-8>#1_zp8@Y)#xg7Lw~hkonrp{hW_db-{jnLF6ns9a{i46Ff-!fN@u9z7)UjWAEaj|Kg$gSE*m_ksR4!CJ*UH}tnn z=5x{CE*ST!&qaTG1@~n~Vd(D=buC(JJ{SERqmF&j4gH-8tMfpAXNC7GuLJaVi8}U9 zH}rRnI_?E~fc|b#$3E%xjQ;MWuHNV9?-7hO?sL)KQ{i>*bJ5=`>hRtS{k;|Z{!6_t z(cdTP*qiJN`uj#5%$}mZpTc{N>(Jj{!QNS)tLPt4>blB&(LYeZ^{x9j`Uff4uWBFY z9~=x{>^=I2DA;pq9r}kVe9w4p=pUxwyP$e4(LX#G`-aDi{t?00$L$~bM+WQ4b%p*> z!8q3q{i7A$|IE-oChE9G+`$hk_VC*^W7yaW4Yc2Cd|Aeg5fg1WJ2IC#X z4E>XmxnJ~8R(Q|$bwmG@sACVar|6%m;Qgwu1N2V|#=d4f^iL1QKID0ze};nhm%6W^ ze`eI-f%`!Jtf*rz@ww=qU0B_l&_5?wOV*)(ZZP!h75e8Tv-jwqAB;WBeV~6qVRauu z|H5GGO)p?_^M9jKvyor2$?Zz*dC{p*9V$GQ*nZ%}Z3 z>-QGu->6`{)IApcn}W5A`Wm5svx4`8L;qF<`^K1ZJN_?1_bJ%_ zy2|*_zdso7v0i`ZKcHZbtH{7&OFg#HT(-lghZg8qx8uD;iy|B`}r+E#o)|K(s@BhL-}SAwy3 zx)1bUEp_!dgZ^u!uC7z`UoUlCr4RJq2u8nNr|7>~>gs1i^xrD1J{!@0Tfse8{X_qq zsN?ff8n55CqyJ$r_8c9kq5n}Z_8j|y z{>KWgN8NMK|0L=-*JDBd(_p;+*#q=HQ!tkL*%bZHv(DE8{Vz&g9SizjD!AW9#pta6 zm6+$@@uB~9VRdgp|C?a!ldePmTZP{z?F;(fMIHB+$Bh2>!Px8E(ElMA?|MEL{U3v| zH@Oe=e^Ri&w3Ktv|2Y`@mHR;dm%_%BI`n_dI`@J8Zwk-XUZMYcF!l)Rq5p@1{bfv9 zlj#2$bzQ3SHA4TdVC@>A8~XoIaBtQ90R8_)9rwP+g8u&stDgta|69S{UhhlvvBUSE z$Bh0&!KkxW=ufOe#$4Yc(4VBR8Or$3pEQ{gn)1mM?1^;d{y2jg6i1^sD)(XSi&(<*#D>^=HDqmJja`$fN3 z)UmEyhkoy<&@VTtMN1#7S;koHR4gJvy`xhwm#{|Pa zj~V?Ih0k>#=(h%AzB*7tzfHmYQ9pB{-yV#2B%h0Zhl0JN?m6gpMjiX98~R!43U!!TA2v*A4wS3ajr@=+BwVb3=bF1<$C_OF)0_+aegZs^ai;J&QS0Q46qb#?urzhE%F-|(2xU#Qg8?gqa0fAvyVzo$ljjjZ$d&|fo|$A|t}$?O&SYb(a0{M^b7{T+kx z8OLKrf2UyVC2r{N9E?5I<3oR!WUfPh*I=Bh12yz_3&wZDUPI{b9*p_Ap}$AgnW4XD zFy`xi(ci1o)%l{ocVTtD=f_!G+bm4*f%t*?aU4P3C^lKP;IJ)X+aXnfpcmh+rM^%6jM@naq0V zAC*i8YUm%G%zEe_lg#r#|JcH+_vjy&%>AN&d@}co{t3x+poad5$=omcCk1P1)bF&= zKRKE8&_5-a4%E;;HJSC$KP{Q(f&S@*jgB*|e?~IT1N}3TxnK0pN~Qxf^v_P_e$hWC z7@t4f&_6es`#}G^WbOm~^ONa74gCv}xexR&Oy;?ve^Ftr?l$xEAs1;*(>rJ zlG%Im86BUpE4Hmn-w0P^~i6Dx;A;{bIETF)+%3IM}Awd7WwBo^4m*YW#o61 zx~V<2$nO;6XO&ft{4ND!nYMhUBfq;aerK0Peorv2hYr-p?^W=#iQZ*yx%sOsB2YSkLZ{DgfxexM}N?l!lR1P^BY!>XJZADYf>CEi{$?;d^_a=uQusdin91KRtXDag{GDKo z#bYLaH<`ym{+@#CTRkOzztq*WLHy-TCU@cl}o*Vfm z!RXg|k9@+p$}T=FRkt79RbDw*}jr%q-)@@bM;k9^u->}x)kyk|0> zOWrG)&n532jCr_`_X)=R=0-kUGWS8=H<|k&@0ZMdkoOP9_}s_`l)B2uo07Q?^5$gj zgM6T3MBHz_x5x)6_^z*K*~7@EFRVTn$OkL<-m%{Mn zb&nu#kGjsRBkzd1u3SUpoxwVDFCp&=){%^S1_j@}*5?BGj0(OJta~>3Ou_Kp_cZy; z$$TxzXHobX*%$IzgE3|usFBZ>%pQ=BRd^j(k9_vR>NAggoPwXF*6T(-hk~CQ^e+22 z`J4)S>gz#1SJruaSl%pQ<07L03YM!vWL59<9v zzC@|3`!o5HrLMj+kS`Ss?>%Pnr3 zzCx+1V;d_P3dUU58u>-Fq?lCWY0{R^*!o>)waRHw)IiUy*Mftb5Gl zTa>ywU-B&rtJj=-La^?AoP4WNSNBfxt&{osl5Z2Ndmks?HtW2G$hQmDy^oV`AFO*H zC*Ps4x{s6ZsF)DfBQ9IyJ0_)zSVSGnIBR?RS`yf9snfo9= zD4F{pKR6iUb0a^busUDzLzB4=^23t35Aws4xexLq6eHq3@;yU-q=N4X`Zsi-Mt)Rb z{mR}>ezb!7vVVDJAU`JR+VuBuJr?p~qYmb=kRKPUU4IYQV&QydLD&CUd{!*D3h!reEoU{Q9WF zKhKT)hNx>1^Rt`$#;C(n&yD=1U@fUfesf{{OC9+w!SLRV{MKY1ANg&`yza?wSMbd1 zU+x9+JE9J+bf89lr-FBjdX32MN@oAa?^fV*^?>}IWbT*z-eBn21M>S6UVmof_eULb z(}5cK1HtISb0dFH;qlo&@`s|1b3H!thl91I9{D4|(DOASe>7Qi8~I~myhqe~ko@ti z(}5cK6AJ3;^(B8Y80*v?kUypHnsguJPbYI7`7^=TJ3U|WXBEu1_Cfw!GJ8P&yn=hR z`b_?Uf_IgAZ;`*Km^=1K_e=g#)OGL07#`?Ajr{Fk-Fr6qJIQ=~$=^-pwL$(~F!WqU{(i9TJ)8W4 ztn>KDKUCO1>ydw?m=MoA*O7mm%s!KUlFa9lf11o=A^$8GdxXy=|2&z`CI2Fs&n5q| zuzJnOze;93@~@LwkNlfp>{qTM|2CQH$iGYGe#yTN#+cp6e<-Z(SL8n?b06eCC37F- zKPPh^I(_d)(gGWS9Lr(#6hZ@#z4d2fDL|4zq5<=^R` z_hGu?J1ZvWUj*Mu|J_=#mg1j{-v3PqX~_Soj$P*8=;6dL{fp*1H#UlS tGVA|8-%V7Z?+q0l`u}!ntf&8W|8E`T|7=tI%epE5W!+T&vaW|F{C}?1o~8f* diff --git a/impl/pnr/Nanoid20K.log b/impl/pnr/Nanoid20K.log index 728b820..6d9d32f 100644 --- a/impl/pnr/Nanoid20K.log +++ b/impl/pnr/Nanoid20K.log @@ -25,5 +25,5 @@ Generate file "C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\im Generate file "C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\impl\pnr\Nanoid20K.rpt.html" completed Generate file "C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\impl\pnr\Nanoid20K.rpt.txt" completed Generate file "C:\Users\Petr\Documents\FPGA\Graphic 2\framebuffer\1\Nanoid20K\impl\pnr\Nanoid20K.tr.html" completed -Sat Jul 13 06:44:58 2024 +Sat Jul 13 08:05:29 2024 diff --git a/impl/pnr/Nanoid20K.pin.html b/impl/pnr/Nanoid20K.pin.html index 18d05c4..dc57cb7 100644 --- a/impl/pnr/Nanoid20K.pin.html +++ b/impl/pnr/Nanoid20K.pin.html @@ -78,7 +78,7 @@

Pin Messages

Created Time -Sat Jul 13 06:44:58 2024 +Sat Jul 13 08:05:29 2024 diff --git a/impl/pnr/Nanoid20K.power.html b/impl/pnr/Nanoid20K.power.html index dd0d297..a83484e 100644 --- a/impl/pnr/Nanoid20K.power.html +++ b/impl/pnr/Nanoid20K.power.html @@ -87,7 +87,7 @@

Power Messages

Created Time -Sat Jul 13 06:44:58 2024 +Sat Jul 13 08:05:29 2024 @@ -100,7 +100,7 @@

Power Information:

- + @@ -108,7 +108,7 @@

Power Information:

- + @@ -119,7 +119,7 @@

Thermal Information:

Total Power (mW)186.943187.029
Quiescent Power (mW)
Dynamic Power (mW)82.76182.846
Psram Power (mW)
- + @@ -127,7 +127,7 @@

Thermal Information:

- +
Junction Temperature29.01029.012
Theta JA
Max Allowed Ambient Temperature80.99080.988

Configure Information:

@@ -196,9 +196,9 @@

Supply Information:

VCC 1.000 -66.923 +67.009 73.819 -140.742 +140.828 VCCX @@ -233,9 +233,9 @@

Power By Block Type:

Logic -0.445 +0.531 NA -2.971 +3.007 IO @@ -265,8 +265,8 @@

Power By Hierarchy:

game_top -65.820 -65.820(65.820) +65.906 +65.906(65.906) game_top/DVI_TX_Top_inst/ 0.181 @@ -289,16 +289,16 @@

Power By Hierarchy:

0.061(0.000) game_top/arkanoid/ -0.132 -0.132(0.000) +0.218 +0.218(0.000) game_top/gw_gao_inst_0/ -39.285 -39.285(39.285) +39.284 +39.284(39.284) game_top/gw_gao_inst_0/u_ao_top/ -39.282 -39.282(39.233) +39.281 +39.281(39.233) game_top/gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/ 39.233 @@ -313,8 +313,8 @@

Power By Hierarchy:

26.151(0.000) game_top/s480p/ -0.071 -0.071(0.000) +0.072 +0.072(0.000)

Power By Clock Domain:

@@ -324,16 +324,16 @@

Power By Clock Domain:

+ + + + + - - - - - diff --git a/impl/pnr/Nanoid20K.rpt.html b/impl/pnr/Nanoid20K.rpt.html index a85f9f5..6a9f039 100644 --- a/impl/pnr/Nanoid20K.rpt.html +++ b/impl/pnr/Nanoid20K.rpt.html @@ -83,7 +83,7 @@

PnR Messages

- @@ -97,19 +97,19 @@

PnR Details

@@ -129,12 +129,12 @@

Resource Usage Summary:

- - + + - + @@ -144,7 +144,7 @@

Resource Usage Summary:

- + @@ -154,7 +154,7 @@

Resource Usage Summary:

- + @@ -169,8 +169,8 @@

Resource Usage Summary:

- - + + diff --git a/impl/pnr/Nanoid20K.rpt.txt b/impl/pnr/Nanoid20K.rpt.txt index c377cd3..ece7d53 100644 --- a/impl/pnr/Nanoid20K.rpt.txt +++ b/impl/pnr/Nanoid20K.rpt.txt @@ -12,25 +12,25 @@ : GW2AR-LV18QN88PFC9/I8 : GW2AR-18 : C - :Sat Jul 13 06:44:58 2024 + :Sat Jul 13 08:05:29 2024 2. PnR Details Running placement: - Placement Phase 0: CPU time = 0h 0m 0.078s, Elapsed time = 0h 0m 0.078s - Placement Phase 1: CPU time = 0h 0m 0.257s, Elapsed time = 0h 0m 0.258s - Placement Phase 2: CPU time = 0h 0m 0.129s, Elapsed time = 0h 0m 0.13s + Placement Phase 0: CPU time = 0h 0m 0.099s, Elapsed time = 0h 0m 0.099s + Placement Phase 1: CPU time = 0h 0m 0.263s, Elapsed time = 0h 0m 0.263s + Placement Phase 2: CPU time = 0h 0m 0.191s, Elapsed time = 0h 0m 0.191s Placement Phase 3: CPU time = 0h 0m 1s, Elapsed time = 0h 0m 1s - Total Placement: CPU time = 0h 0m 1s, Elapsed time = 0h 0m 1s + Total Placement: CPU time = 0h 0m 2s, Elapsed time = 0h 0m 2s Running routing: Routing Phase 0: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.001s - Routing Phase 1: CPU time = 0h 0m 0.305s, Elapsed time = 0h 0m 0.305s - Routing Phase 2: CPU time = 0h 0m 0.628s, Elapsed time = 0h 0m 0.627s + Routing Phase 1: CPU time = 0h 0m 0.326s, Elapsed time = 0h 0m 0.326s + Routing Phase 2: CPU time = 0h 0m 0.717s, Elapsed time = 0h 0m 0.716s Routing Phase 3: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s - Total Routing: CPU time = 0h 0m 0.933s, Elapsed time = 0h 0m 0.933s + Total Routing: CPU time = 0h 0m 1s, Elapsed time = 0h 0m 1s Generate output files: - CPU time = 0h 0m 2s, Elapsed time = 0h 0m 2s + CPU time = 0h 0m 3s, Elapsed time = 0h 0m 3s Total Time and Memory Usage: CPU time = 0h 0m 5s, Elapsed time = 0h 0m 5s, Peak memory usage = 541MB @@ -40,15 +40,15 @@ ---------------------------------------------------------- Resources | Usage ---------------------------------------------------------- - Logic | 915/20736 5% - --LUT,ALU,ROM16 | 915(746 LUT, 169 ALU, 0 ROM16) + Logic | 1229/20736 6% + --LUT,ALU,ROM16 | 1229(1062 LUT, 167 ALU, 0 ROM16) --SSRAM(RAM16) | 0 - Register | 357/15750 3% + Register | 427/15750 3% --Logic Register as Latch | 0/15552 0% - --Logic Register as FF | 357/15552 3% + --Logic Register as FF | 427/15552 3% --I/O Register as Latch | 0/198 0% --I/O Register as FF | 0/198 0% - CLS | 602/10368 6% + CLS | 781/10368 8% I/O Port | 15 I/O Buf | 11 --Input Buf | 6 diff --git a/impl/pnr/Nanoid20K.timing_paths b/impl/pnr/Nanoid20K.timing_paths index ab0a28c..b83e59c 100644 --- a/impl/pnr/Nanoid20K.timing_paths +++ b/impl/pnr/Nanoid20K.timing_paths @@ -1,7 +1,7 @@ ===== SETUP -8.006 -2.726 +7.587 +3.144 10.732 gw_gao_inst_0/tck_ibuf 0.000 @@ -13,11 +13,11 @@ gw_gao_inst_0/u_ao_top/internal_reg_start_s1 1.848 2.048 gw_gao_inst_0/u_ao_top/internal_reg_start_syn_0_s0 -2.726 +3.144 ===== SETUP -10.063 -1.720 +9.708 +2.075 11.783 clk_ibuf 0.000 @@ -26,422 +26,563 @@ gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_wr_s1 0.797 0.997 gw_gao_inst_0/u_ao_top/n836_s1 -1.400 -1.720 +1.584 +2.075 gw_gao_inst_0/u_ao_top/capture_end_tck_0_s0 -1.720 +2.075 ===== SETUP -33.407 -7.360 +31.877 +8.890 40.767 clk_ibuf 0.000 0.587 -arkanoid/qxb_5_s2 +arkanoid/score_counter_4_s0 0.797 0.997 -arkanoid/n155_s4 -1.393 -1.839 -arkanoid/n9052_s3 -2.219 -2.609 -arkanoid/n3294_s37 -2.964 -3.442 -arkanoid/n3294_s20 -4.007 -4.499 -arkanoid/n3294_s9 -4.500 -4.820 -arkanoid/n3294_s4 -5.176 -5.654 -arkanoid/qxb_9_s2 -6.256 -6.730 -arkanoid/qxb_5_s2 -7.360 +arkanoid/n7053_s12 +1.370 +1.849 +arkanoid/n7054_s15 +1.871 +2.345 +arkanoid/n7054_s13 +2.469 +2.960 +arkanoid/n7054_s12 +2.961 +3.352 +arkanoid/n7055_s21 +3.709 +4.155 +arkanoid/n7055_s19 +4.720 +5.198 +arkanoid/n7056_s22 +5.225 +5.623 +arkanoid/n7056_s21 +5.624 +6.022 +arkanoid/n7056_s20 +6.023 +6.497 +arkanoid/n7059_s3 +6.506 +6.952 +arkanoid/n7127_s1 +7.331 +7.729 +arkanoid/n7139_s1 +7.731 +8.210 +arkanoid/score_1[2]_2_s0 +8.890 ===== SETUP -33.407 -7.360 +32.184 +8.583 40.767 clk_ibuf 0.000 0.587 -arkanoid/qxb_5_s2 +arkanoid/score_counter_4_s0 0.797 0.997 -arkanoid/n155_s4 -1.393 -1.839 -arkanoid/n9052_s3 -2.219 -2.609 -arkanoid/n3294_s37 -2.964 -3.442 -arkanoid/n3294_s20 -4.007 -4.499 -arkanoid/n3294_s9 -4.500 -4.820 -arkanoid/n3294_s4 -5.176 -5.654 -arkanoid/qxb_9_s2 -6.256 -6.730 -arkanoid/qxb_4_s2 -7.360 +arkanoid/n7053_s12 +1.370 +1.849 +arkanoid/n7054_s15 +1.871 +2.345 +arkanoid/n7054_s13 +2.469 +2.960 +arkanoid/n7054_s12 +2.961 +3.352 +arkanoid/n7055_s21 +3.709 +4.155 +arkanoid/n7055_s19 +4.720 +5.198 +arkanoid/n7056_s22 +5.225 +5.623 +arkanoid/n7056_s21 +5.624 +6.022 +arkanoid/n7056_s20 +6.023 +6.497 +arkanoid/n7059_s3 +6.506 +6.952 +arkanoid/n7092_s2 +7.396 +7.875 +arkanoid/n7110_s0 +8.091 +8.583 +arkanoid/score_1[4]_4_s0 +8.583 ===== SETUP -33.437 -7.330 +32.208 +8.558 40.767 clk_ibuf 0.000 0.587 -arkanoid/qxb_0_s0 +arkanoid/qyb_3_s0 0.797 0.997 -arkanoid/n9048_s6 -1.619 -2.010 -arkanoid/n9048_s4 -2.583 -2.973 -arkanoid/n9048_s2 -3.571 -3.961 -arkanoid/n3294_s29 -4.182 -4.655 -arkanoid/n3294_s13 -4.804 -5.194 -arkanoid/n3294_s6 -5.765 -6.210 -arkanoid/qdy_s3 -6.765 -7.047 -arkanoid/qdy_s0 -7.330 +arkanoid/n10667_s4 +1.615 +2.061 +arkanoid/n10667_s1 +2.421 +2.899 +arkanoid/n3739_s10 +3.490 +3.880 +arkanoid/n3737_s15 +4.851 +5.343 +arkanoid/n3737_s20 +5.492 +5.971 +arkanoid/n3737_s3 +6.335 +6.654 +arkanoid/n3735_s14 +6.872 +7.346 +arkanoid/n3735_s4 +7.494 +7.814 +arkanoid/n3736_s1 +8.160 +8.558 +arkanoid/score_counter_6_s0 +8.558 ===== SETUP -33.514 -7.253 +32.212 +8.554 40.767 clk_ibuf 0.000 0.587 -arkanoid/qxb_0_s0 +arkanoid/score_counter_4_s0 0.797 0.997 -arkanoid/n9048_s6 -1.619 -2.010 -arkanoid/n9048_s4 -2.583 -2.973 -arkanoid/n9048_s2 -3.571 -3.961 -arkanoid/n3294_s29 -4.182 -4.655 -arkanoid/n3294_s13 -4.804 -5.194 -arkanoid/n3294_s6 -5.765 -6.210 -arkanoid/n3294_s3 -6.779 -7.253 -arkanoid/qdy_s0 -7.253 +arkanoid/n7053_s12 +1.370 +1.849 +arkanoid/n7054_s15 +1.871 +2.345 +arkanoid/n7054_s13 +2.469 +2.960 +arkanoid/n7054_s12 +2.961 +3.352 +arkanoid/n7055_s21 +3.709 +4.155 +arkanoid/n7055_s19 +4.720 +5.198 +arkanoid/n7056_s22 +5.225 +5.623 +arkanoid/n7056_s21 +5.624 +6.022 +arkanoid/n7056_s20 +6.023 +6.497 +arkanoid/n7059_s3 +6.506 +6.952 +arkanoid/n7092_s2 +7.396 +7.875 +arkanoid/n7100_s1 +8.234 +8.554 +arkanoid/score_1[5]_3_s0 +8.554 ===== SETUP -33.565 -7.201 +32.222 +8.545 40.767 clk_ibuf 0.000 0.587 -arkanoid/qxb_5_s2 +arkanoid/score_counter_4_s0 0.797 0.997 -arkanoid/n155_s4 -1.393 -1.839 -arkanoid/n9052_s3 -2.219 -2.609 -arkanoid/n3294_s37 -2.964 -3.442 -arkanoid/n3294_s20 -4.007 -4.499 -arkanoid/n3294_s9 -4.500 -4.820 -arkanoid/n3294_s4 -5.176 -5.654 -arkanoid/qxb_9_s2 -6.256 -6.730 -arkanoid/qxb_0_s0 -7.201 +arkanoid/n7053_s12 +1.370 +1.849 +arkanoid/n7054_s15 +1.871 +2.345 +arkanoid/n7054_s13 +2.469 +2.960 +arkanoid/n7054_s12 +2.961 +3.352 +arkanoid/n7055_s21 +3.709 +4.155 +arkanoid/n7055_s19 +4.720 +5.198 +arkanoid/n7056_s22 +5.225 +5.623 +arkanoid/n7056_s21 +5.624 +6.022 +arkanoid/n7056_s20 +6.023 +6.497 +arkanoid/n7059_s3 +6.506 +6.952 +arkanoid/n7127_s1 +7.331 +7.729 +arkanoid/n7139_s1 +7.731 +8.223 +arkanoid/n7141_s1 +8.225 +8.545 +arkanoid/score_1[2]_1_s0 +8.545 ===== SETUP -33.565 -7.201 +32.282 +8.484 40.767 clk_ibuf 0.000 0.587 -arkanoid/qxb_5_s2 +arkanoid/score_counter_5_s0 0.797 0.997 -arkanoid/n155_s4 -1.393 -1.839 -arkanoid/n9052_s3 -2.219 -2.609 -arkanoid/n3294_s37 +arkanoid/n6846_s18 +1.599 +2.090 +arkanoid/n6846_s17 +2.091 +2.489 +arkanoid/n6846_s13 +2.490 2.964 -3.442 -arkanoid/n3294_s20 -4.007 -4.499 -arkanoid/n3294_s9 -4.500 -4.820 -arkanoid/n3294_s4 -5.176 -5.654 -arkanoid/qxb_9_s2 -6.256 -6.730 -arkanoid/qxb_1_s0 -7.201 +arkanoid/n6846_s8 +2.965 +3.355 +arkanoid/n6843_s17 +3.370 +3.816 +arkanoid/n6845_s6 +4.255 +4.746 +arkanoid/n6845_s5 +4.895 +5.214 +arkanoid/n6843_s16 +5.392 +5.782 +arkanoid/n6878_s2 +5.805 +6.196 +arkanoid/n6895_s3 +6.573 +7.019 +arkanoid/n6909_s1 +7.248 +7.726 +arkanoid/n6923_s2 +8.086 +8.484 +arkanoid/score[5]_1_s0 +8.484 ===== SETUP -33.565 -7.201 +32.365 +8.401 40.767 clk_ibuf 0.000 0.587 -arkanoid/qxb_5_s2 +arkanoid/score_counter_4_s0 0.797 0.997 -arkanoid/n155_s4 -1.393 -1.839 -arkanoid/n9052_s3 -2.219 -2.609 -arkanoid/n3294_s37 -2.964 -3.442 -arkanoid/n3294_s20 -4.007 -4.499 -arkanoid/n3294_s9 -4.500 -4.820 -arkanoid/n3294_s4 -5.176 -5.654 -arkanoid/qxb_9_s2 -6.256 -6.730 -arkanoid/qxb_2_s0 -7.201 +arkanoid/n7053_s12 +1.370 +1.849 +arkanoid/n7054_s15 +1.871 +2.345 +arkanoid/n7054_s13 +2.469 +2.960 +arkanoid/n7054_s12 +2.961 +3.352 +arkanoid/n7055_s21 +3.709 +4.155 +arkanoid/n7055_s19 +4.720 +5.198 +arkanoid/n7056_s22 +5.225 +5.623 +arkanoid/n7056_s21 +5.624 +6.022 +arkanoid/n7056_s20 +6.023 +6.497 +arkanoid/n7059_s3 +6.506 +6.952 +arkanoid/n7127_s1 +7.331 +7.721 +arkanoid/score_1[3]_2_s0 +8.401 ===== SETUP -33.565 -7.201 +32.416 +8.351 40.767 clk_ibuf 0.000 0.587 -arkanoid/qxb_5_s2 +arkanoid/qxb_1_s0 0.797 0.997 -arkanoid/n155_s4 -1.393 -1.839 -arkanoid/n9052_s3 -2.219 -2.609 -arkanoid/n3294_s37 -2.964 -3.442 -arkanoid/n3294_s20 -4.007 -4.499 -arkanoid/n3294_s9 -4.500 -4.820 -arkanoid/n3294_s4 -5.176 -5.654 +arkanoid/n3744_s53 +1.591 +2.069 +arkanoid/n3744_s51 +2.286 +2.777 +arkanoid/n3744_s48 +2.926 +3.324 +arkanoid/n3744_s42 +3.325 +3.817 +arkanoid/n3744_s30 +3.965 +4.411 +arkanoid/n3744_s19 +4.896 +5.370 +arkanoid/n3744_s9 +5.518 +5.838 +arkanoid/qyb_9_s3 +6.290 +6.763 +arkanoid/qyb_9_s2 +6.914 +7.305 arkanoid/qxb_9_s2 -6.256 -6.730 -arkanoid/qxb_6_s0 -7.201 +7.324 +7.722 +arkanoid/qxb_3_s2 +8.351 ===== SETUP -33.568 -7.198 +32.416 +8.351 40.767 clk_ibuf 0.000 0.587 -arkanoid/qxb_5_s2 +arkanoid/qxb_1_s0 0.797 0.997 -arkanoid/n155_s4 -1.393 -1.839 -arkanoid/n9052_s3 -2.219 -2.609 -arkanoid/n3294_s37 -2.964 -3.442 -arkanoid/n3294_s20 -4.007 -4.499 -arkanoid/n3294_s9 -4.500 -4.820 -arkanoid/n3294_s4 -5.176 -5.654 +arkanoid/n3744_s53 +1.591 +2.069 +arkanoid/n3744_s51 +2.286 +2.777 +arkanoid/n3744_s48 +2.926 +3.324 +arkanoid/n3744_s42 +3.325 +3.817 +arkanoid/n3744_s30 +3.965 +4.411 +arkanoid/n3744_s19 +4.896 +5.370 +arkanoid/n3744_s9 +5.518 +5.838 +arkanoid/qyb_9_s3 +6.290 +6.763 +arkanoid/qyb_9_s2 +6.914 +7.305 arkanoid/qxb_9_s2 -6.256 -6.730 -arkanoid/qxb_8_s2 -7.198 +7.324 +7.722 +arkanoid/qxb_1_s0 +8.351 ===== SETUP -33.568 -7.198 +32.423 +8.343 40.767 clk_ibuf 0.000 0.587 -arkanoid/qxb_5_s2 +arkanoid/qxb_1_s0 0.797 0.997 -arkanoid/n155_s4 -1.393 -1.839 -arkanoid/n9052_s3 -2.219 -2.609 -arkanoid/n3294_s37 -2.964 -3.442 -arkanoid/n3294_s20 -4.007 -4.499 -arkanoid/n3294_s9 -4.500 -4.820 -arkanoid/n3294_s4 -5.176 -5.654 -arkanoid/qxb_9_s2 -6.256 -6.730 -arkanoid/qxb_3_s2 -7.198 +arkanoid/n10669_s6 +1.644 +2.123 +arkanoid/n10669_s3 +2.505 +2.896 +arkanoid/n10669_s1 +3.242 +3.561 +arkanoid/n3741_s16 +4.148 +4.594 +arkanoid/n3741_s10 +4.815 +5.288 +arkanoid/n3741_s3 +5.437 +5.756 +arkanoid/n3739_s7 +6.111 +6.431 +arkanoid/n3735_s37 +6.438 +6.829 +arkanoid/n3737_s22 +7.046 +7.524 +arkanoid/n3738_s0 +7.870 +8.343 +arkanoid/score_counter_4_s0 +8.343 ===== SETUP -33.568 -7.198 +32.482 +8.285 40.767 clk_ibuf 0.000 0.587 -arkanoid/qxb_5_s2 +arkanoid/qyb_3_s0 0.797 0.997 -arkanoid/n155_s4 -1.393 -1.839 -arkanoid/n9052_s3 -2.219 -2.609 -arkanoid/n3294_s37 -2.964 -3.442 -arkanoid/n3294_s20 -4.007 -4.499 -arkanoid/n3294_s9 -4.500 -4.820 -arkanoid/n3294_s4 -5.176 -5.654 -arkanoid/qxb_9_s2 -6.256 -6.730 -arkanoid/qxb_7_s0 -7.198 +arkanoid/n10667_s4 +1.615 +2.061 +arkanoid/n10667_s1 +2.421 +2.899 +arkanoid/n3739_s10 +3.490 +3.880 +arkanoid/n3737_s15 +4.851 +5.343 +arkanoid/n3737_s20 +5.492 +5.971 +arkanoid/n3737_s3 +6.335 +6.654 +arkanoid/n3735_s14 +6.872 +7.346 +arkanoid/n3735_s4 +7.494 +7.814 +arkanoid/n3735_s0 +7.965 +8.285 +arkanoid/score_counter_7_s0 +8.285 ===== SETUP -33.568 -7.198 +32.549 +8.217 40.767 clk_ibuf 0.000 0.587 -arkanoid/qxb_5_s2 +arkanoid/score_counter_4_s0 0.797 0.997 -arkanoid/n155_s4 -1.393 -1.839 -arkanoid/n9052_s3 -2.219 -2.609 -arkanoid/n3294_s37 -2.964 -3.442 -arkanoid/n3294_s20 -4.007 -4.499 -arkanoid/n3294_s9 -4.500 -4.820 -arkanoid/n3294_s4 -5.176 -5.654 -arkanoid/qxb_9_s2 -6.256 -6.730 -arkanoid/qxb_9_s0 -7.198 +arkanoid/n7053_s12 +1.370 +1.849 +arkanoid/n7054_s15 +1.871 +2.345 +arkanoid/n7054_s13 +2.469 +2.960 +arkanoid/n7054_s12 +2.961 +3.352 +arkanoid/n7055_s21 +3.709 +4.155 +arkanoid/n7055_s19 +4.720 +5.198 +arkanoid/n7056_s22 +5.225 +5.623 +arkanoid/n7056_s21 +5.624 +6.022 +arkanoid/n7056_s20 +6.023 +6.469 +arkanoid/n7131_s1 +7.104 +7.595 +arkanoid/n7131_s0 +7.744 +8.217 +arkanoid/score_1[2]_6_s0 +8.217 ===== SETUP -22.775 -29.042 +23.315 +28.503 51.818 gw_gao_inst_0/tck_ibuf 25.000 @@ -452,18 +593,18 @@ gw_gao_inst_0/u_gw_jtag gw_gao_inst_0/u_icon_top/enable_reg_2_s0 26.968 27.168 -gw_gao_inst_0/u_icon_top/n33_s1 -27.697 -28.142 +gw_gao_inst_0/u_icon_top/n33_s3 +27.320 +27.793 gw_gao_inst_0/u_icon_top/n33_s0 -28.355 -28.754 +27.794 +28.193 gw_gao_inst_0/u_icon_top/module_id_reg_0_s0 -29.042 +28.503 ===== SETUP -22.775 -29.042 +23.315 +28.503 51.818 gw_gao_inst_0/tck_ibuf 25.000 @@ -474,18 +615,18 @@ gw_gao_inst_0/u_gw_jtag gw_gao_inst_0/u_icon_top/enable_reg_2_s0 26.968 27.168 -gw_gao_inst_0/u_icon_top/n33_s1 -27.697 -28.142 +gw_gao_inst_0/u_icon_top/n33_s3 +27.320 +27.793 gw_gao_inst_0/u_icon_top/n33_s0 -28.355 -28.754 +27.794 +28.193 gw_gao_inst_0/u_icon_top/module_id_reg_1_s0 -29.042 +28.503 ===== SETUP -22.775 -29.042 +23.315 +28.503 51.818 gw_gao_inst_0/tck_ibuf 25.000 @@ -496,18 +637,18 @@ gw_gao_inst_0/u_gw_jtag gw_gao_inst_0/u_icon_top/enable_reg_2_s0 26.968 27.168 -gw_gao_inst_0/u_icon_top/n33_s1 -27.697 -28.142 +gw_gao_inst_0/u_icon_top/n33_s3 +27.320 +27.793 gw_gao_inst_0/u_icon_top/n33_s0 -28.355 -28.754 -gw_gao_inst_0/u_icon_top/module_id_reg_3_s0 -29.042 +27.794 +28.193 +gw_gao_inst_0/u_icon_top/module_id_reg_2_s0 +28.503 ===== SETUP -22.939 -28.879 +23.315 +28.503 51.818 gw_gao_inst_0/tck_ibuf 25.000 @@ -518,18 +659,18 @@ gw_gao_inst_0/u_gw_jtag gw_gao_inst_0/u_icon_top/enable_reg_2_s0 26.968 27.168 -gw_gao_inst_0/u_icon_top/n33_s1 -27.697 -28.142 +gw_gao_inst_0/u_icon_top/n33_s3 +27.320 +27.793 gw_gao_inst_0/u_icon_top/n33_s0 -28.355 -28.754 -gw_gao_inst_0/u_icon_top/module_id_reg_2_s0 -28.879 +27.794 +28.193 +gw_gao_inst_0/u_icon_top/module_id_reg_3_s0 +28.503 ===== SETUP -23.020 -28.798 +23.388 +28.429 51.818 gw_gao_inst_0/tck_ibuf 25.000 @@ -537,18 +678,18 @@ gw_gao_inst_0/tck_ibuf gw_gao_inst_0/u_gw_jtag 25.591 26.182 -gw_gao_inst_0/u_icon_top/enable_reg_2_s0 +gw_gao_inst_0/u_icon_top/enable_reg_1_s0 26.968 27.168 -gw_gao_inst_0/u_icon_top/n54_s1 -27.697 -28.170 +gw_gao_inst_0/u_icon_top/n54_s0 +27.310 +27.801 gw_gao_inst_0/u_icon_top/input_shift_reg_2_s0 -28.798 +28.429 ===== SETUP -23.020 -28.798 +23.388 +28.429 51.818 gw_gao_inst_0/tck_ibuf 25.000 @@ -556,18 +697,18 @@ gw_gao_inst_0/tck_ibuf gw_gao_inst_0/u_gw_jtag 25.591 26.182 -gw_gao_inst_0/u_icon_top/enable_reg_2_s0 +gw_gao_inst_0/u_icon_top/enable_reg_1_s0 26.968 27.168 -gw_gao_inst_0/u_icon_top/n54_s1 -27.697 -28.170 +gw_gao_inst_0/u_icon_top/n54_s0 +27.310 +27.801 gw_gao_inst_0/u_icon_top/input_shift_reg_3_s0 -28.798 +28.429 ===== SETUP -23.020 -28.798 +23.388 +28.429 51.818 gw_gao_inst_0/tck_ibuf 25.000 @@ -575,18 +716,18 @@ gw_gao_inst_0/tck_ibuf gw_gao_inst_0/u_gw_jtag 25.591 26.182 -gw_gao_inst_0/u_icon_top/enable_reg_2_s0 +gw_gao_inst_0/u_icon_top/enable_reg_1_s0 26.968 27.168 -gw_gao_inst_0/u_icon_top/n54_s1 -27.697 -28.170 +gw_gao_inst_0/u_icon_top/n54_s0 +27.310 +27.801 gw_gao_inst_0/u_icon_top/input_shift_reg_4_s0 -28.798 +28.429 ===== SETUP -23.364 -28.454 +23.646 +28.172 51.818 gw_gao_inst_0/tck_ibuf 25.000 @@ -594,18 +735,15 @@ gw_gao_inst_0/tck_ibuf gw_gao_inst_0/u_gw_jtag 25.591 26.182 -gw_gao_inst_0/u_icon_top/enable_reg_2_s0 +gw_gao_inst_0/u_icon_top/tdi_d_s0 26.968 27.168 -gw_gao_inst_0/u_icon_top/n54_s1 -27.697 -28.170 -gw_gao_inst_0/u_icon_top/input_shift_reg_0_s0 -28.454 +gw_gao_inst_0/u_ao_top/data_register_45_s0 +28.172 ===== SETUP -23.364 -28.454 +23.732 +28.085 51.818 gw_gao_inst_0/tck_ibuf 25.000 @@ -613,18 +751,18 @@ gw_gao_inst_0/tck_ibuf gw_gao_inst_0/u_gw_jtag 25.591 26.182 -gw_gao_inst_0/u_icon_top/enable_reg_2_s0 +gw_gao_inst_0/u_icon_top/enable_reg_1_s0 26.968 27.168 -gw_gao_inst_0/u_icon_top/n54_s1 -27.697 -28.170 -gw_gao_inst_0/u_icon_top/input_shift_reg_1_s0 -28.454 +gw_gao_inst_0/u_icon_top/n54_s0 +27.310 +27.801 +gw_gao_inst_0/u_icon_top/input_shift_reg_0_s0 +28.085 ===== SETUP -23.527 -28.290 +23.732 +28.085 51.818 gw_gao_inst_0/tck_ibuf 25.000 @@ -632,15 +770,18 @@ gw_gao_inst_0/tck_ibuf gw_gao_inst_0/u_gw_jtag 25.591 26.182 -gw_gao_inst_0/u_icon_top/tdi_d_s0 +gw_gao_inst_0/u_icon_top/enable_reg_1_s0 26.968 27.168 -gw_gao_inst_0/u_ao_top/data_register_45_s0 -28.290 +gw_gao_inst_0/u_icon_top/n54_s0 +27.310 +27.801 +gw_gao_inst_0/u_icon_top/input_shift_reg_1_s0 +28.085 ===== SETUP -23.736 -28.081 +23.946 +27.872 51.818 gw_gao_inst_0/tck_ibuf 25.000 @@ -652,40 +793,40 @@ gw_gao_inst_0/u_icon_top/tdi_d_s0 26.968 27.168 gw_gao_inst_0/u_icon_top/input_shift_reg_4_s0 -28.081 +27.872 ===== HOLD --0.423 -1.271 +-0.473 +1.221 1.694 clk_ibuf 0.000 0.581 gw_gao_inst_0/u_ao_top/capture_start_sel_s1 0.740 -0.914 +0.913 gw_gao_inst_0/u_ao_top/n836_s1 1.021 -1.271 +1.221 gw_gao_inst_0/u_ao_top/capture_end_tck_0_s0 -1.271 +1.221 ===== HOLD -0.314 -1.268 +0.202 +1.156 0.954 clk_ibuf 0.000 0.581 -s480p/de_s0 +arkanoid/red_7_s0 0.740 0.914 -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_12_s -1.268 +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_11_s +1.156 ===== HOLD -0.319 -1.273 +0.202 +1.156 0.954 clk_ibuf 0.000 @@ -694,11 +835,11 @@ arkanoid/red_7_s0 0.740 0.914 gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_11_s -1.273 +1.156 ===== HOLD -0.319 -1.273 +0.202 +1.156 0.954 clk_ibuf 0.000 @@ -706,8 +847,134 @@ clk_ibuf arkanoid/red_7_s0 0.740 0.914 -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_11_s -1.273 +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_10_s +1.156 +===== +HOLD +0.202 +1.156 +0.954 +clk_ibuf +0.000 +0.581 +arkanoid/red_7_s0 +0.740 +0.914 +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_10_s +1.156 +===== +HOLD +0.291 +2.042 +1.751 +gw_gao_inst_0/tck_ibuf +0.000 +0.581 +gw_gao_inst_0/u_gw_jtag +0.581 +1.162 +gw_gao_inst_0/u_ao_top/address_counter_11_s0 +1.649 +1.823 +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_6_s +2.042 +===== +HOLD +0.307 +1.261 +0.954 +clk_ibuf +0.000 +0.581 +arkanoid/red_7_s0 +0.740 +0.914 +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_9_s +1.261 +===== +HOLD +0.307 +1.261 +0.954 +clk_ibuf +0.000 +0.581 +arkanoid/red_7_s0 +0.740 +0.914 +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_9_s +1.261 +===== +HOLD +0.315 +1.156 +0.841 +clk_ibuf +0.000 +0.581 +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_5_s1 +0.740 +0.914 +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_6_s +1.156 +===== +HOLD +0.317 +2.068 +1.751 +gw_gao_inst_0/tck_ibuf +0.000 +0.581 +gw_gao_inst_0/u_gw_jtag +0.581 +1.162 +gw_gao_inst_0/u_ao_top/address_counter_7_s0 +1.649 +1.823 +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_8_s +2.068 +===== +HOLD +0.317 +2.068 +1.751 +gw_gao_inst_0/tck_ibuf +0.000 +0.581 +gw_gao_inst_0/u_gw_jtag +0.581 +1.162 +gw_gao_inst_0/u_ao_top/address_counter_7_s0 +1.649 +1.823 +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_6_s +2.068 +===== +HOLD +0.342 +1.296 +0.954 +clk_ibuf +0.000 +0.581 +arkanoid/red_7_s0 +0.740 +0.914 +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_8_s +1.296 +===== +HOLD +0.342 +1.296 +0.954 +clk_ibuf +0.000 +0.581 +arkanoid/red_7_s0 +0.740 +0.914 +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_8_s +1.296 ===== HOLD 0.367 @@ -716,13 +983,13 @@ HOLD clk_ibuf 0.000 0.581 -s480p/y_6_s0 +s480p/y_8_s0 0.740 0.914 -s480p/n109_s +s480p/n107_s 0.916 1.116 -s480p/y_6_s0 +s480p/y_8_s0 1.116 ===== HOLD @@ -764,13 +1031,13 @@ HOLD clk_ibuf 0.000 0.581 -s480p/x_12_s0 +s480p/x_14_s0 0.740 0.914 -s480p/n168_s +s480p/n166_s 0.916 1.116 -s480p/x_12_s0 +s480p/x_14_s0 1.116 ===== HOLD @@ -783,13 +1050,13 @@ gw_gao_inst_0/tck_ibuf gw_gao_inst_0/u_gw_jtag 0.581 1.162 -gw_gao_inst_0/u_ao_top/word_count_3_s0 +gw_gao_inst_0/u_ao_top/bit_count_3_s1 1.649 1.823 -gw_gao_inst_0/u_ao_top/data_to_word_counter_3_s2 +gw_gao_inst_0/u_ao_top/n445_s2 1.825 2.025 -gw_gao_inst_0/u_ao_top/word_count_3_s0 +gw_gao_inst_0/u_ao_top/bit_count_3_s1 2.025 ===== HOLD @@ -802,32 +1069,13 @@ gw_gao_inst_0/tck_ibuf gw_gao_inst_0/u_gw_jtag 0.581 1.162 -gw_gao_inst_0/u_ao_top/word_count_6_s0 -1.649 -1.823 -gw_gao_inst_0/u_ao_top/data_to_word_counter_6_s2 -1.825 -2.025 -gw_gao_inst_0/u_ao_top/word_count_6_s0 -2.025 -===== -HOLD -0.367 -2.025 -1.659 -gw_gao_inst_0/tck_ibuf -0.000 -0.581 -gw_gao_inst_0/u_gw_jtag -0.581 -1.162 -gw_gao_inst_0/u_ao_top/word_count_15_s0 +gw_gao_inst_0/u_ao_top/word_count_11_s0 1.649 1.823 -gw_gao_inst_0/u_ao_top/data_to_word_counter_15_s2 +gw_gao_inst_0/u_ao_top/data_to_word_counter_11_s2 1.825 2.025 -gw_gao_inst_0/u_ao_top/word_count_15_s0 +gw_gao_inst_0/u_ao_top/word_count_11_s0 2.025 ===== HOLD @@ -837,45 +1085,13 @@ HOLD clk_ibuf 0.000 0.581 -s480p/y_0_s0 -0.740 -0.914 -s480p/n115_s2 -0.917 -1.117 -s480p/y_0_s0 -1.117 -===== -HOLD -0.368 -1.117 -0.749 -clk_ibuf -0.000 -0.581 -s480p/y_2_s0 -0.740 -0.914 -s480p/n113_s -0.917 -1.117 -s480p/y_2_s0 -1.117 -===== -HOLD -0.368 -1.117 -0.749 -clk_ibuf -0.000 -0.581 -s480p/y_8_s0 +s480p/y_6_s0 0.740 0.914 -s480p/n107_s +s480p/n109_s 0.917 1.117 -s480p/y_8_s0 +s480p/y_6_s0 1.117 ===== HOLD @@ -901,22 +1117,6 @@ HOLD clk_ibuf 0.000 0.581 -s480p/x_6_s0 -0.740 -0.914 -s480p/n174_s -0.917 -1.117 -s480p/x_6_s0 -1.117 -===== -HOLD -0.368 -1.117 -0.749 -clk_ibuf -0.000 -0.581 s480p/x_8_s0 0.740 0.914 @@ -933,13 +1133,13 @@ HOLD clk_ibuf 0.000 0.581 -s480p/x_14_s0 +s480p/x_12_s0 0.740 0.914 -s480p/n166_s +s480p/n168_s 0.917 1.117 -s480p/x_14_s0 +s480p/x_12_s0 1.117 ===== HOLD @@ -952,13 +1152,13 @@ gw_gao_inst_0/tck_ibuf gw_gao_inst_0/u_gw_jtag 0.581 1.162 -gw_gao_inst_0/u_ao_top/word_count_0_s0 +gw_gao_inst_0/u_ao_top/bit_count_2_s1 1.649 1.823 -gw_gao_inst_0/u_ao_top/data_to_word_counter_0_s4 +gw_gao_inst_0/u_ao_top/n446_s2 1.827 2.026 -gw_gao_inst_0/u_ao_top/word_count_0_s0 +gw_gao_inst_0/u_ao_top/bit_count_2_s1 2.026 ===== HOLD @@ -971,106 +1171,11 @@ gw_gao_inst_0/tck_ibuf gw_gao_inst_0/u_gw_jtag 0.581 1.162 -gw_gao_inst_0/u_ao_top/word_count_11_s0 -1.649 -1.823 -gw_gao_inst_0/u_ao_top/data_to_word_counter_11_s2 -1.827 -2.026 -gw_gao_inst_0/u_ao_top/word_count_11_s0 -2.026 -===== -HOLD -0.368 -2.026 -1.659 -gw_gao_inst_0/tck_ibuf -0.000 -0.581 -gw_gao_inst_0/u_gw_jtag -0.581 -1.162 -gw_gao_inst_0/u_ao_top/word_count_13_s0 +gw_gao_inst_0/u_ao_top/word_count_6_s0 1.649 1.823 -gw_gao_inst_0/u_ao_top/data_to_word_counter_13_s2 +gw_gao_inst_0/u_ao_top/data_to_word_counter_6_s2 1.827 2.026 -gw_gao_inst_0/u_ao_top/word_count_13_s0 +gw_gao_inst_0/u_ao_top/word_count_6_s0 2.026 -===== -HOLD -0.369 -2.028 -1.659 -gw_gao_inst_0/tck_ibuf -0.000 -0.581 -gw_gao_inst_0/u_gw_jtag -0.581 -1.162 -gw_gao_inst_0/u_ao_top/bit_count_0_s3 -1.649 -1.823 -gw_gao_inst_0/u_ao_top/n448_s5 -1.828 -2.028 -gw_gao_inst_0/u_ao_top/bit_count_0_s3 -2.028 -===== -HOLD -0.369 -2.028 -1.659 -gw_gao_inst_0/tck_ibuf -0.000 -0.581 -gw_gao_inst_0/u_gw_jtag -0.581 -1.162 -gw_gao_inst_0/u_ao_top/bit_count_1_s1 -1.649 -1.823 -gw_gao_inst_0/u_ao_top/n447_s3 -1.828 -2.028 -gw_gao_inst_0/u_ao_top/bit_count_1_s1 -2.028 -===== -HOLD -0.369 -2.028 -1.659 -gw_gao_inst_0/tck_ibuf -0.000 -0.581 -gw_gao_inst_0/u_gw_jtag -0.581 -1.162 -gw_gao_inst_0/u_ao_top/word_count_1_s0 -1.649 -1.823 -gw_gao_inst_0/u_ao_top/data_to_word_counter_1_s2 -1.828 -2.028 -gw_gao_inst_0/u_ao_top/word_count_1_s0 -2.028 -===== -HOLD -0.369 -2.028 -1.659 -gw_gao_inst_0/tck_ibuf -0.000 -0.581 -gw_gao_inst_0/u_gw_jtag -0.581 -1.162 -gw_gao_inst_0/u_ao_top/word_count_5_s0 -1.649 -1.823 -gw_gao_inst_0/u_ao_top/data_to_word_counter_5_s2 -1.828 -2.028 -gw_gao_inst_0/u_ao_top/word_count_5_s0 -2.028 diff --git a/impl/pnr/Nanoid20K_tr_content.html b/impl/pnr/Nanoid20K_tr_content.html index bd71f89..fe100a1 100644 --- a/impl/pnr/Nanoid20K_tr_content.html +++ b/impl/pnr/Nanoid20K_tr_content.html @@ -55,7 +55,7 @@

Timing Messages

- @@ -76,11 +76,11 @@

STA Tool Run Summary:

- + - + @@ -189,16 +189,16 @@

Max Frequency Summary:

- - + + - - + +
Total Dynamic Power(mW)
clk25.00048.429
tck_pad_i 20.000 17.486
clk25.00048.343
rPLL/rpll_inst/CLKOUT.default_gen_clk 250.000 0.034
Created TimeSat Jul 13 06:44:58 2024 +Sat Jul 13 08:05:29 2024
Place & Route Process Running placement: - Placement Phase 0: CPU time = 0h 0m 0.078s, Elapsed time = 0h 0m 0.078s - Placement Phase 1: CPU time = 0h 0m 0.257s, Elapsed time = 0h 0m 0.258s - Placement Phase 2: CPU time = 0h 0m 0.129s, Elapsed time = 0h 0m 0.13s + Placement Phase 0: CPU time = 0h 0m 0.099s, Elapsed time = 0h 0m 0.099s + Placement Phase 1: CPU time = 0h 0m 0.263s, Elapsed time = 0h 0m 0.263s + Placement Phase 2: CPU time = 0h 0m 0.191s, Elapsed time = 0h 0m 0.191s Placement Phase 3: CPU time = 0h 0m 1s, Elapsed time = 0h 0m 1s - Total Placement: CPU time = 0h 0m 1s, Elapsed time = 0h 0m 1s + Total Placement: CPU time = 0h 0m 2s, Elapsed time = 0h 0m 2s Running routing: Routing Phase 0: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.001s - Routing Phase 1: CPU time = 0h 0m 0.305s, Elapsed time = 0h 0m 0.305s - Routing Phase 2: CPU time = 0h 0m 0.628s, Elapsed time = 0h 0m 0.627s + Routing Phase 1: CPU time = 0h 0m 0.326s, Elapsed time = 0h 0m 0.326s + Routing Phase 2: CPU time = 0h 0m 0.717s, Elapsed time = 0h 0m 0.716s Routing Phase 3: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s - Total Routing: CPU time = 0h 0m 0.933s, Elapsed time = 0h 0m 0.933s + Total Routing: CPU time = 0h 0m 1s, Elapsed time = 0h 0m 1s Generate output files: - CPU time = 0h 0m 2s, Elapsed time = 0h 0m 2s + CPU time = 0h 0m 3s, Elapsed time = 0h 0m 3s
Logic915/207365%1229/207366%
    --LUT,ALU,ROM16915(746 LUT, 169 ALU, 0 ROM16)1229(1062 LUT, 167 ALU, 0 ROM16) -
Register357/15750427/15750 3%
    --Logic Register as FF357/15552427/15552 3%
CLS602/103686%781/103688%
I/O Port
Created TimeSat Jul 13 06:44:58 2024 +Sat Jul 13 08:05:29 2024
Numbers of Paths Analyzed17481923
Numbers of Endpoints Analyzed14471537
Numbers of Falling Endpoints 1 clk 25.000(MHz)151.672(MHz)8123.107(MHz)13 TOP
2 tck_pad_i 20.000(MHz)165.592(MHz)8178.836(MHz)6 TOP
@@ -305,278 +305,278 @@

Report Command:report_timing -setup -max_paths 25 -max_common_paths 1

1 -8.006 +7.587 gw_gao_inst_0/u_ao_top/internal_reg_start_s1/Q gw_gao_inst_0/u_ao_top/internal_reg_start_syn_0_s0/D tck_pad_i:[R] clk:[R] 10.000 1.051 -0.878 +1.296 2 -10.063 +9.708 gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_wr_s1/Q gw_gao_inst_0/u_ao_top/capture_end_tck_0_s0/D clk:[R] tck_pad_i:[R] 10.000 -1.051 -0.923 +1.278 3 -33.407 -arkanoid/qxb_5_s2/Q -arkanoid/qxb_5_s2/CE +31.877 +arkanoid/score_counter_4_s0/Q +arkanoid/score_1[2]_2_s0/D clk:[R] clk:[R] 40.000 0.000 -6.563 +8.093 4 -33.407 -arkanoid/qxb_5_s2/Q -arkanoid/qxb_4_s2/CE +32.184 +arkanoid/score_counter_4_s0/Q +arkanoid/score_1[4]_4_s0/D clk:[R] clk:[R] 40.000 0.000 -6.563 +7.786 5 -33.437 -arkanoid/qxb_0_s0/Q -arkanoid/qdy_s0/CE +32.208 +arkanoid/qyb_3_s0/Q +arkanoid/score_counter_6_s0/D clk:[R] clk:[R] 40.000 0.000 -6.533 +7.762 6 -33.514 -arkanoid/qxb_0_s0/Q -arkanoid/qdy_s0/D +32.212 +arkanoid/score_counter_4_s0/Q +arkanoid/score_1[5]_3_s0/D clk:[R] clk:[R] 40.000 0.000 -6.456 +7.758 7 -33.565 -arkanoid/qxb_5_s2/Q -arkanoid/qxb_0_s0/CE +32.222 +arkanoid/score_counter_4_s0/Q +arkanoid/score_1[2]_1_s0/D clk:[R] clk:[R] 40.000 0.000 -6.405 +7.748 8 -33.565 -arkanoid/qxb_5_s2/Q -arkanoid/qxb_1_s0/CE +32.282 +arkanoid/score_counter_5_s0/Q +arkanoid/score[5]_1_s0/D clk:[R] clk:[R] 40.000 0.000 -6.405 +7.688 9 -33.565 -arkanoid/qxb_5_s2/Q -arkanoid/qxb_2_s0/CE +32.365 +arkanoid/score_counter_4_s0/Q +arkanoid/score_1[3]_2_s0/D clk:[R] clk:[R] 40.000 0.000 -6.405 +7.604 10 -33.565 -arkanoid/qxb_5_s2/Q -arkanoid/qxb_6_s0/CE +32.416 +arkanoid/qxb_1_s0/Q +arkanoid/qxb_3_s2/CE clk:[R] clk:[R] 40.000 0.000 -6.405 +7.554 11 -33.568 -arkanoid/qxb_5_s2/Q -arkanoid/qxb_8_s2/CE +32.416 +arkanoid/qxb_1_s0/Q +arkanoid/qxb_1_s0/CE clk:[R] clk:[R] 40.000 0.000 -6.402 +7.554 12 -33.568 -arkanoid/qxb_5_s2/Q -arkanoid/qxb_3_s2/CE +32.423 +arkanoid/qxb_1_s0/Q +arkanoid/score_counter_4_s0/D clk:[R] clk:[R] 40.000 0.000 -6.402 +7.547 13 -33.568 -arkanoid/qxb_5_s2/Q -arkanoid/qxb_7_s0/CE +32.482 +arkanoid/qyb_3_s0/Q +arkanoid/score_counter_7_s0/D clk:[R] clk:[R] 40.000 0.000 -6.402 +7.488 14 -33.568 -arkanoid/qxb_5_s2/Q -arkanoid/qxb_9_s0/CE +32.549 +arkanoid/score_counter_4_s0/Q +arkanoid/score_1[2]_6_s0/D clk:[R] clk:[R] 40.000 0.000 -6.402 +7.421 15 -22.775 +23.315 gw_gao_inst_0/u_icon_top/enable_reg_2_s0/Q gw_gao_inst_0/u_icon_top/module_id_reg_0_s0/CE tck_pad_i:[F] tck_pad_i:[R] 25.000 0.121 -2.074 +1.534 16 -22.775 +23.315 gw_gao_inst_0/u_icon_top/enable_reg_2_s0/Q gw_gao_inst_0/u_icon_top/module_id_reg_1_s0/CE tck_pad_i:[F] tck_pad_i:[R] 25.000 0.121 -2.074 +1.534 17 -22.775 +23.315 gw_gao_inst_0/u_icon_top/enable_reg_2_s0/Q -gw_gao_inst_0/u_icon_top/module_id_reg_3_s0/CE +gw_gao_inst_0/u_icon_top/module_id_reg_2_s0/CE tck_pad_i:[F] tck_pad_i:[R] 25.000 0.121 -2.074 +1.534 18 -22.939 +23.315 gw_gao_inst_0/u_icon_top/enable_reg_2_s0/Q -gw_gao_inst_0/u_icon_top/module_id_reg_2_s0/CE +gw_gao_inst_0/u_icon_top/module_id_reg_3_s0/CE tck_pad_i:[F] tck_pad_i:[R] 25.000 0.121 -1.911 +1.534 19 -23.020 -gw_gao_inst_0/u_icon_top/enable_reg_2_s0/Q +23.388 +gw_gao_inst_0/u_icon_top/enable_reg_1_s0/Q gw_gao_inst_0/u_icon_top/input_shift_reg_2_s0/CE tck_pad_i:[F] tck_pad_i:[R] 25.000 0.121 -1.829 +1.461 20 -23.020 -gw_gao_inst_0/u_icon_top/enable_reg_2_s0/Q +23.388 +gw_gao_inst_0/u_icon_top/enable_reg_1_s0/Q gw_gao_inst_0/u_icon_top/input_shift_reg_3_s0/CE tck_pad_i:[F] tck_pad_i:[R] 25.000 0.121 -1.829 +1.461 21 -23.020 -gw_gao_inst_0/u_icon_top/enable_reg_2_s0/Q +23.388 +gw_gao_inst_0/u_icon_top/enable_reg_1_s0/Q gw_gao_inst_0/u_icon_top/input_shift_reg_4_s0/CE tck_pad_i:[F] tck_pad_i:[R] 25.000 0.121 -1.829 +1.461 22 -23.364 -gw_gao_inst_0/u_icon_top/enable_reg_2_s0/Q -gw_gao_inst_0/u_icon_top/input_shift_reg_0_s0/CE +23.646 +gw_gao_inst_0/u_icon_top/tdi_d_s0/Q +gw_gao_inst_0/u_ao_top/data_register_45_s0/D tck_pad_i:[F] tck_pad_i:[R] 25.000 0.121 -1.485 +1.203 23 -23.364 -gw_gao_inst_0/u_icon_top/enable_reg_2_s0/Q -gw_gao_inst_0/u_icon_top/input_shift_reg_1_s0/CE +23.732 +gw_gao_inst_0/u_icon_top/enable_reg_1_s0/Q +gw_gao_inst_0/u_icon_top/input_shift_reg_0_s0/CE tck_pad_i:[F] tck_pad_i:[R] 25.000 0.121 -1.485 +1.117 24 -23.527 -gw_gao_inst_0/u_icon_top/tdi_d_s0/Q -gw_gao_inst_0/u_ao_top/data_register_45_s0/D +23.732 +gw_gao_inst_0/u_icon_top/enable_reg_1_s0/Q +gw_gao_inst_0/u_icon_top/input_shift_reg_1_s0/CE tck_pad_i:[F] tck_pad_i:[R] 25.000 0.121 -1.322 +1.117 25 -23.736 +23.946 gw_gao_inst_0/u_icon_top/tdi_d_s0/Q gw_gao_inst_0/u_icon_top/input_shift_reg_4_s0/D tck_pad_i:[F] tck_pad_i:[R] 25.000 0.121 -1.113 +0.903

Hold Paths Table

@@ -595,220 +595,220 @@

Report Command:report_timing -hold -max_paths 25 -max_common_paths 1

1 --0.423 +-0.473 gw_gao_inst_0/u_ao_top/capture_start_sel_s1/Q gw_gao_inst_0/u_ao_top/capture_end_tck_0_s0/D clk:[R] tck_pad_i:[R] 0.000 -0.909 -0.531 +0.481 2 -0.314 -s480p/de_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_12_s/DI[0] +0.202 +arkanoid/red_7_s0/Q +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_11_s/DI[1] clk:[R] clk:[R] 0.000 0.000 -0.528 +0.417 3 -0.319 +0.202 arkanoid/red_7_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_11_s/DI[1] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_11_s/DI[0] clk:[R] clk:[R] 0.000 0.000 -0.533 +0.417 4 -0.319 +0.202 arkanoid/red_7_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_11_s/DI[0] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_10_s/DI[1] clk:[R] clk:[R] 0.000 0.000 -0.533 +0.417 5 -0.367 -s480p/y_6_s0/Q -s480p/y_6_s0/D +0.202 +arkanoid/red_7_s0/Q +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_10_s/DI[0] clk:[R] clk:[R] 0.000 0.000 -0.376 +0.417 6 -0.367 -s480p/y_12_s0/Q -s480p/y_12_s0/D -clk:[R] -clk:[R] +0.291 +gw_gao_inst_0/u_ao_top/address_counter_11_s0/Q +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_6_s/ADB[12] +tck_pad_i:[R] +tck_pad_i:[R] 0.000 0.000 -0.376 +0.393 7 -0.367 -s480p/y_14_s0/Q -s480p/y_14_s0/D +0.307 +arkanoid/red_7_s0/Q +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_9_s/DI[1] clk:[R] clk:[R] 0.000 0.000 -0.376 +0.522 8 -0.367 -s480p/x_12_s0/Q -s480p/x_12_s0/D +0.307 +arkanoid/red_7_s0/Q +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_9_s/DI[0] clk:[R] clk:[R] 0.000 0.000 -0.376 +0.522 9 -0.367 -gw_gao_inst_0/u_ao_top/word_count_3_s0/Q -gw_gao_inst_0/u_ao_top/word_count_3_s0/D -tck_pad_i:[R] -tck_pad_i:[R] +0.315 +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_5_s1/Q +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_6_s/ADA[6] +clk:[R] +clk:[R] 0.000 0.000 -0.376 +0.416 10 -0.367 -gw_gao_inst_0/u_ao_top/word_count_6_s0/Q -gw_gao_inst_0/u_ao_top/word_count_6_s0/D +0.317 +gw_gao_inst_0/u_ao_top/address_counter_7_s0/Q +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_8_s/ADB[8] tck_pad_i:[R] tck_pad_i:[R] 0.000 0.000 -0.376 +0.419 11 -0.367 -gw_gao_inst_0/u_ao_top/word_count_15_s0/Q -gw_gao_inst_0/u_ao_top/word_count_15_s0/D +0.317 +gw_gao_inst_0/u_ao_top/address_counter_7_s0/Q +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_6_s/ADB[8] tck_pad_i:[R] tck_pad_i:[R] 0.000 0.000 -0.376 +0.419 12 -0.368 -s480p/y_0_s0/Q -s480p/y_0_s0/D +0.342 +arkanoid/red_7_s0/Q +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_8_s/DI[1] clk:[R] clk:[R] 0.000 0.000 -0.377 +0.557 13 -0.368 -s480p/y_2_s0/Q -s480p/y_2_s0/D +0.342 +arkanoid/red_7_s0/Q +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_8_s/DI[0] clk:[R] clk:[R] 0.000 0.000 -0.377 +0.557 14 -0.368 +0.367 s480p/y_8_s0/Q s480p/y_8_s0/D clk:[R] clk:[R] 0.000 0.000 -0.377 +0.376 15 -0.368 -s480p/x_2_s0/Q -s480p/x_2_s0/D +0.367 +s480p/y_12_s0/Q +s480p/y_12_s0/D clk:[R] clk:[R] 0.000 0.000 -0.377 +0.376 16 -0.368 -s480p/x_6_s0/Q -s480p/x_6_s0/D +0.367 +s480p/y_14_s0/Q +s480p/y_14_s0/D clk:[R] clk:[R] 0.000 0.000 -0.377 +0.376 17 -0.368 -s480p/x_8_s0/Q -s480p/x_8_s0/D +0.367 +s480p/x_14_s0/Q +s480p/x_14_s0/D clk:[R] clk:[R] 0.000 0.000 -0.377 +0.376 18 -0.368 -s480p/x_14_s0/Q -s480p/x_14_s0/D -clk:[R] -clk:[R] +0.367 +gw_gao_inst_0/u_ao_top/bit_count_3_s1/Q +gw_gao_inst_0/u_ao_top/bit_count_3_s1/D +tck_pad_i:[R] +tck_pad_i:[R] 0.000 0.000 -0.377 +0.376 19 -0.368 -gw_gao_inst_0/u_ao_top/word_count_0_s0/Q -gw_gao_inst_0/u_ao_top/word_count_0_s0/D +0.367 +gw_gao_inst_0/u_ao_top/word_count_11_s0/Q +gw_gao_inst_0/u_ao_top/word_count_11_s0/D tck_pad_i:[R] tck_pad_i:[R] 0.000 0.000 -0.377 +0.376 20 0.368 -gw_gao_inst_0/u_ao_top/word_count_11_s0/Q -gw_gao_inst_0/u_ao_top/word_count_11_s0/D -tck_pad_i:[R] -tck_pad_i:[R] +s480p/y_6_s0/Q +s480p/y_6_s0/D +clk:[R] +clk:[R] 0.000 0.000 0.377 @@ -816,57 +816,57 @@

Report Command:report_timing -hold -max_paths 25 -max_common_paths 1

21 0.368 -gw_gao_inst_0/u_ao_top/word_count_13_s0/Q -gw_gao_inst_0/u_ao_top/word_count_13_s0/D -tck_pad_i:[R] -tck_pad_i:[R] +s480p/x_2_s0/Q +s480p/x_2_s0/D +clk:[R] +clk:[R] 0.000 0.000 0.377 22 -0.369 -gw_gao_inst_0/u_ao_top/bit_count_0_s3/Q -gw_gao_inst_0/u_ao_top/bit_count_0_s3/D -tck_pad_i:[R] -tck_pad_i:[R] +0.368 +s480p/x_8_s0/Q +s480p/x_8_s0/D +clk:[R] +clk:[R] 0.000 0.000 -0.378 +0.377 23 -0.369 -gw_gao_inst_0/u_ao_top/bit_count_1_s1/Q -gw_gao_inst_0/u_ao_top/bit_count_1_s1/D -tck_pad_i:[R] -tck_pad_i:[R] +0.368 +s480p/x_12_s0/Q +s480p/x_12_s0/D +clk:[R] +clk:[R] 0.000 0.000 -0.378 +0.377 24 -0.369 -gw_gao_inst_0/u_ao_top/word_count_1_s0/Q -gw_gao_inst_0/u_ao_top/word_count_1_s0/D +0.368 +gw_gao_inst_0/u_ao_top/bit_count_2_s1/Q +gw_gao_inst_0/u_ao_top/bit_count_2_s1/D tck_pad_i:[R] tck_pad_i:[R] 0.000 0.000 -0.378 +0.377 25 -0.369 -gw_gao_inst_0/u_ao_top/word_count_5_s0/Q -gw_gao_inst_0/u_ao_top/word_count_5_s0/D +0.368 +gw_gao_inst_0/u_ao_top/word_count_6_s0/Q +gw_gao_inst_0/u_ao_top/word_count_6_s0/D tck_pad_i:[R] tck_pad_i:[R] 0.000 0.000 -0.378 +0.377

Recovery Paths Table

@@ -885,278 +885,278 @@

Report Command:report_timing -recovery -max_paths 25 -max_common_paths 1

1 -10.390 +10.398 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q gw_gao_inst_0/u_ao_top/capture_end_tck_0_s0/CLEAR clk:[F] tck_pad_i:[R] 10.000 -1.031 -0.576 +0.568 2 -10.390 +10.398 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q gw_gao_inst_0/u_ao_top/capture_end_tck_1_s0/CLEAR clk:[F] tck_pad_i:[R] 10.000 -1.031 -0.576 +0.568 3 -10.390 +10.398 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q gw_gao_inst_0/u_ao_top/capture_end_tck_2_s0/CLEAR clk:[F] tck_pad_i:[R] 10.000 -1.031 -0.576 +0.568 4 -18.968 +18.998 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/internal_reg_start_syn_0_s0/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_1_s5/CLEAR clk:[F] clk:[R] 20.000 0.020 -0.982 +0.952 5 -18.968 +18.998 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/internal_reg_start_syn_1_s0/CLEAR +gw_gao_inst_0/u_ao_top/capture_end_dly_s0/PRESET clk:[F] clk:[R] 20.000 0.020 -0.982 +0.952 6 -19.033 +19.051 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/capture_start_sel_s1/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_0_s5/CLEAR clk:[F] clk:[R] 20.000 0.020 -0.917 +0.899 7 -19.033 +19.051 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/capture_end_dly_s0/PRESET +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_12_s6/CLEAR clk:[F] clk:[R] 20.000 0.020 -0.917 +0.899 8 -19.033 +19.132 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/internal_reg_start_dly_0_s0/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_6_s5/CLEAR clk:[F] clk:[R] 20.000 0.020 -0.917 +0.818 9 -19.376 +19.132 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_0_s5/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_3_s1/CLEAR clk:[F] clk:[R] 20.000 0.020 -0.574 +0.818 10 -19.376 +19.132 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_1_s5/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_8_s1/CLEAR clk:[F] clk:[R] 20.000 0.020 -0.574 +0.818 11 -19.376 +19.132 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_4_s5/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_11_s1/CLEAR clk:[F] clk:[R] 20.000 0.020 -0.574 +0.818 12 -19.376 +19.137 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_6_s5/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_7_s5/CLEAR clk:[F] clk:[R] 20.000 0.020 -0.574 +0.813 13 -19.376 +19.137 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_7_s5/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_9_s5/CLEAR clk:[F] clk:[R] 20.000 0.020 -0.574 +0.813 14 -19.376 +19.137 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_10_s5/CLEAR clk:[F] clk:[R] 20.000 0.020 -0.574 +0.813 15 -19.376 +19.158 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_5_s1/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_4_s5/CLEAR clk:[F] clk:[R] 20.000 0.020 -0.574 +0.792 16 -19.376 +19.173 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_11_s1/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_2_s1/CLEAR clk:[F] clk:[R] 20.000 0.020 -0.574 +0.777 17 -19.376 +19.173 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_12_s1/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_5_s1/CLEAR clk:[F] clk:[R] 20.000 0.020 -0.574 +0.777 18 -19.376 +19.275 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_wr_s1/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_loop_s1/CLEAR clk:[F] clk:[R] 20.000 0.020 -0.574 +0.675 19 -19.376 +19.275 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/trigger_seq_start_s1/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_addr_inc_en_s1/CLEAR clk:[F] clk:[R] 20.000 0.020 -0.574 +0.675 20 -19.376 +19.275 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/internal_reg_start_dly_1_s0/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_wr_s1/CLEAR clk:[F] clk:[R] 20.000 0.020 -0.574 +0.675 21 -19.381 +19.280 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_3_s5/CLEAR +gw_gao_inst_0/u_ao_top/trigger_seq_start_s1/CLEAR clk:[F] clk:[R] 20.000 0.020 -0.569 +0.670 22 -19.381 +19.280 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_9_s5/CLEAR +gw_gao_inst_0/u_ao_top/internal_reg_start_dly_0_s0/CLEAR clk:[F] clk:[R] 20.000 0.020 -0.569 +0.670 23 -19.387 +19.280 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_loop_s1/CLEAR +gw_gao_inst_0/u_ao_top/internal_reg_start_dly_1_s0/CLEAR clk:[F] clk:[R] 20.000 0.020 -0.563 +0.670 24 -19.387 +19.389 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_2_s1/CLEAR +gw_gao_inst_0/u_ao_top/internal_reg_start_syn_0_s0/CLEAR clk:[F] clk:[R] 20.000 0.020 -0.563 +0.561 25 -19.387 +19.389 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_8_s1/CLEAR +gw_gao_inst_0/u_ao_top/internal_reg_start_syn_1_s0/CLEAR clk:[F] clk:[R] 20.000 0.020 -0.563 +0.561

Removal Paths Table

@@ -1175,278 +1175,278 @@

Report Command:report_timing -removal -max_paths 25 -max_common_paths 1

1 --0.538 +-0.543 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q gw_gao_inst_0/u_ao_top/capture_end_tck_0_s0/CLEAR clk:[F] tck_pad_i:[R] 0.000 -0.899 -0.405 +0.401 2 --0.538 +-0.543 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q gw_gao_inst_0/u_ao_top/capture_end_tck_1_s0/CLEAR clk:[F] tck_pad_i:[R] 0.000 -0.899 -0.405 +0.401 3 --0.538 +-0.543 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q gw_gao_inst_0/u_ao_top/capture_end_tck_2_s0/CLEAR clk:[F] tck_pad_i:[R] 0.000 -0.899 -0.405 +0.401 4 -20.402 +20.292 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_loop_s1/CLEAR +gw_gao_inst_0/u_ao_top/capture_start_sel_s1/CLEAR clk:[F] clk:[R] -20.000 0.011 -0.401 +0.291 5 -20.402 +20.397 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_2_s1/CLEAR +gw_gao_inst_0/u_ao_top/internal_reg_start_syn_0_s0/CLEAR clk:[F] clk:[R] -20.000 0.011 -0.401 +0.396 6 -20.402 +20.397 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_8_s1/CLEAR +gw_gao_inst_0/u_ao_top/internal_reg_start_syn_1_s0/CLEAR clk:[F] clk:[R] -20.000 0.011 -0.401 +0.396 7 -20.402 +20.420 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_addr_inc_en_s1/CLEAR +gw_gao_inst_0/u_ao_top/trigger_seq_start_s1/CLEAR clk:[F] clk:[R] -20.000 0.011 -0.401 +0.419 8 -20.405 +20.420 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_3_s5/CLEAR +gw_gao_inst_0/u_ao_top/internal_reg_start_dly_0_s0/CLEAR clk:[F] clk:[R] -20.000 0.011 -0.404 +0.419 9 -20.405 +20.420 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_9_s5/CLEAR +gw_gao_inst_0/u_ao_top/internal_reg_start_dly_1_s0/CLEAR clk:[F] clk:[R] -20.000 0.011 -0.404 +0.419 10 -20.408 +20.423 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_0_s5/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_loop_s1/CLEAR clk:[F] clk:[R] -20.000 0.011 -0.407 +0.422 11 -20.408 +20.423 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_1_s5/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_addr_inc_en_s1/CLEAR clk:[F] clk:[R] -20.000 0.011 -0.407 +0.422 12 -20.408 +20.423 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_4_s5/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_wr_s1/CLEAR clk:[F] clk:[R] -20.000 0.011 -0.407 +0.422 13 -20.408 +20.507 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_6_s5/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_2_s1/CLEAR clk:[F] clk:[R] -20.000 0.011 -0.407 +0.506 14 -20.408 +20.507 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_7_s5/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_5_s1/CLEAR clk:[F] clk:[R] -20.000 0.011 -0.407 +0.506 15 -20.408 +20.513 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_10_s5/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_4_s5/CLEAR clk:[F] clk:[R] -20.000 0.011 -0.407 +0.512 16 -20.408 +20.538 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_5_s1/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_7_s5/CLEAR clk:[F] clk:[R] -20.000 0.011 -0.407 +0.537 17 -20.408 +20.538 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_11_s1/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_9_s5/CLEAR clk:[F] clk:[R] -20.000 0.011 -0.407 +0.537 18 -20.408 +20.538 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_12_s1/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_10_s5/CLEAR clk:[F] clk:[R] -20.000 0.011 -0.407 +0.537 19 -20.408 +20.541 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_wr_s1/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_6_s5/CLEAR clk:[F] clk:[R] -20.000 0.011 -0.407 +0.540 20 -20.408 +20.541 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/trigger_seq_start_s1/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_3_s1/CLEAR clk:[F] clk:[R] -20.000 0.011 -0.407 +0.540 21 -20.408 +20.541 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/internal_reg_start_dly_1_s0/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_8_s1/CLEAR clk:[F] clk:[R] -20.000 0.011 -0.407 +0.540 22 -20.613 +20.541 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/internal_reg_start_syn_0_s0/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_11_s1/CLEAR clk:[F] clk:[R] -20.000 0.011 -0.612 +0.540 23 -20.613 +20.546 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/internal_reg_start_syn_1_s0/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_0_s5/CLEAR clk:[F] clk:[R] -20.000 0.011 -0.612 +0.545 24 -20.622 +20.546 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/capture_start_sel_s1/CLEAR +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_12_s6/CLEAR clk:[F] clk:[R] -20.000 0.011 -0.621 +0.545 25 -20.622 +20.650 gw_gao_inst_0/u_ao_top/rst_ao_s0/Q -gw_gao_inst_0/u_ao_top/capture_end_dly_s0/PRESET +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_1_s5/CLEAR clk:[F] clk:[R] -20.000 0.011 -0.621 +0.648

Minimum Pulse Width Table:

@@ -1522,7 +1522,7 @@

Report Command:report_min_pulse_width -nworst 10 -detail

0.862 Low Pulse Width clk -arkanoid/qx_2_s1 +arkanoid/score[6]_2_s0 8 @@ -1531,7 +1531,7 @@

Report Command:report_min_pulse_width -nworst 10 -detail

0.862 Low Pulse Width clk -arkanoid/qx_1_s1 +DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/sel_xnor_s0 9 @@ -1540,7 +1540,7 @@

Report Command:report_min_pulse_width -nworst 10 -detail

0.862 Low Pulse Width clk -s480p/y_9_s0 +DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/c1_d_s0 10 @@ -1549,7 +1549,7 @@

Report Command:report_min_pulse_width -nworst 10 -detail

0.862 Low Pulse Width clk -arkanoid/qxb_8_s2 +arkanoid/score[6]_4_s0

Timing Report By Analysis Type:

@@ -1560,11 +1560,11 @@

Path1

- + - + @@ -1658,7 +1658,7 @@

Path1

- + @@ -1667,16 +1667,16 @@

Path1

- + - - + + - +
Slack8.0067.587
Data Arrival Time152.726153.144
Data Required Time tNET RR 1R41C26[0][A]R44C19[0][A] gw_gao_inst_0/u_ao_top/internal_reg_start_s1/CLK
tC2Q RF 2R41C26[0][A]R44C19[0][A] gw_gao_inst_0/u_ao_top/internal_reg_start_s1/Q
152.7260.678153.1441.096 tNET FF 1R43C27[0][B]R44C19[1][A] gw_gao_inst_0/u_ao_top/internal_reg_start_syn_0_s0/D
@@ -1723,7 +1723,7 @@

Path1

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -1733,7 +1733,7 @@

Path1

tNET RR 1 -R43C27[0][B] +R44C19[1][A] gw_gao_inst_0/u_ao_top/internal_reg_start_syn_0_s0/CLK @@ -1751,7 +1751,7 @@

Path1

tSu 1 -R43C27[0][B] +R44C19[1][A] gw_gao_inst_0/u_ao_top/internal_reg_start_syn_0_s0 @@ -1775,7 +1775,7 @@

Path1

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.678, 77.218%; tC2Q: 0.200, 22.782% +cell: 0.000, 0.000%; route: 1.096, 84.572%; tC2Q: 0.200, 15.428% Required Clock Path Delay @@ -1787,11 +1787,11 @@

Path2

- + - + @@ -1857,7 +1857,7 @@

Path2

- + @@ -1867,7 +1867,7 @@

Path2

- + @@ -1876,34 +1876,34 @@

Path2

- + - - + + - + - - + + - + - + - + - + - +
Slack10.0639.708
Data Arrival Time41.72042.075
Data Required Time 0.587 tINS RR207277 IOL29[A] clk_ibuf/O
tNET RR 1R39C25[2][A]R40C21[2][A] gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_wr_s1/CLK
tC2Q RF 26R39C25[2][A]R40C21[2][A] gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_wr_s1/Q
41.4000.40341.5840.587 tNET FF 1R41C26[1][A]R44C21[0][A] gw_gao_inst_0/u_ao_top/n836_s1/I0
41.7200.32042.0750.491 tINSFFFR 1R41C26[1][A]R44C21[0][A] gw_gao_inst_0/u_ao_top/n836_s1/F
41.72042.075 0.000 tNETFFRR 1R41C26[1][A]R44C21[0][A] gw_gao_inst_0/u_ao_top/capture_end_tck_0_s0/D
@@ -1978,7 +1978,7 @@

Path2

tNET RR 1 -R41C26[1][A] +R44C21[0][A] gw_gao_inst_0/u_ao_top/capture_end_tck_0_s0/CLK @@ -1996,7 +1996,7 @@

Path2

tSu 1 -R41C26[1][A] +R44C21[0][A] gw_gao_inst_0/u_ao_top/capture_end_tck_0_s0 @@ -2020,7 +2020,7 @@

Path2

Arrival Data Path Delay -cell: 0.320, 34.643%; route: 0.403, 43.693%; tC2Q: 0.200, 21.664% +cell: 0.491, 38.435%; route: 0.587, 45.921%; tC2Q: 0.200, 15.644% Required Clock Path Delay @@ -2032,11 +2032,11 @@

Path3

- + - + @@ -2044,11 +2044,11 @@

Path3

- + - + @@ -2102,7 +2102,7 @@

Path3

- + @@ -2112,152 +2112,242 @@

Path3

- - + + - - - + + + - - + + - - + + - - + + - - - + + + - - + + - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + + + + + + + + + + + + + + + + + + + - - - + + + - - + + - - + + - + - - - + + + - - + + - - + + - - + + - - + + - + - - + + - - + + - + - - + + - - + + - + - - + + - - + + - - - - + + + + - - + + + + + + + + + + + + + + + + + + + + - - + + - - + + - - - + + + - - + + - - + + + + + + + + + + + + + + + + + + + +
Slack33.40731.877
Data Arrival Time7.3608.890
Data Required Time
Fromarkanoid/qxb_5_s2arkanoid/score_counter_4_s0
Toarkanoid/qxb_5_s2arkanoid/score_1[2]_2_s0
Launch Clk 0.587 tINS RR207277 IOL29[A] clk_ibuf/O
tNET RR 1R43C40[0][B]arkanoid/qxb_5_s2/CLKR41C43[1][A]arkanoid/score_counter_4_s0/CLK
0.997 0.200 tC2Q RF22R43C40[0][B]arkanoid/qxb_5_s2/Q19R41C43[1][A]arkanoid/score_counter_4_s0/Q
1.3930.3961.3700.374 tNET FF 1R42C39[0][B]arkanoid/n155_s4/I1R42C41[3][B]arkanoid/n7053_s12/I0
1.8390.4461.8490.478 tINS FF8R42C39[0][B]arkanoid/n155_s4/F16R42C41[3][B]arkanoid/n7053_s12/F
2.2190.3801.8710.023 tNET FF 1R41C40[2][A]arkanoid/n9052_s3/I2R42C41[2][B]arkanoid/n7054_s15/I2
2.3450.473tINSFR1R42C41[2][B]arkanoid/n7054_s15/F
2.4690.124tNETRR1R42C41[3][A]arkanoid/n7054_s13/I3
2.9600.491tINSRR1R42C41[3][A]arkanoid/n7054_s13/F
2.9610.001tNETRR1R42C41[2][A]arkanoid/n7054_s12/I0
2.6093.352 0.390 tINSRF11R42C41[2][A]arkanoid/n7054_s12/F
3.7090.357tNETFF1R42C42[2][A]arkanoid/n7055_s21/I1
4.1550.446tINS FF7R41C40[2][A]arkanoid/n9052_s3/F3R42C42[2][A]arkanoid/n7055_s21/F
2.9640.3554.7200.565 tNET FF 1R41C39[0][A]arkanoid/n3294_s37/I0R44C44[2][A]arkanoid/n7055_s19/I2
3.4425.198 0.478 tINS FF1R41C39[0][A]arkanoid/n3294_s37/F16R44C44[2][A]arkanoid/n7055_s19/F
4.0070.5655.2250.026 tNET FF 1R44C39[0][A]arkanoid/n3294_s20/I3R44C44[3][A]arkanoid/n7056_s22/I2
4.4990.4915.6230.398 tINS FR 1R44C39[0][A]arkanoid/n3294_s20/FR44C44[3][A]arkanoid/n7056_s22/F
4.5005.624 0.001 tNET RR 1R44C39[3][A]arkanoid/n3294_s9/I2R44C44[3][B]arkanoid/n7056_s21/I2
4.8200.3206.0220.398 tINSRFRR 1R44C39[3][A]arkanoid/n3294_s9/FR44C44[3][B]arkanoid/n7056_s21/F
5.1760.3566.0230.001 tNETFFRR 1R43C38[1][B]arkanoid/n3294_s4/I2R44C44[2][B]arkanoid/n7056_s20/I3
5.6540.4786.4970.473 tINSFF4R43C38[1][B]arkanoid/n3294_s4/FRR25R44C44[2][B]arkanoid/n7056_s20/F
6.2560.6026.5060.009tNETRR1R44C44[1][B]arkanoid/n7059_s3/I0
6.9520.446tINSRF12R44C44[1][B]arkanoid/n7059_s3/F
7.3310.379 tNET FF 1R39C41[2][A]arkanoid/qxb_9_s2/I0R44C43[0][B]arkanoid/n7127_s1/I0
6.7300.4737.7290.398 tINS FR10R39C41[2][A]arkanoid/qxb_9_s2/F2R44C43[0][B]arkanoid/n7127_s1/F
7.3600.6307.7310.002 tNET RR 1R43C40[0][B]arkanoid/qxb_5_s2/CER44C43[1][A]arkanoid/n7139_s1/I3
8.2100.478tINSRF2R44C43[1][A]arkanoid/n7139_s1/F
8.8900.680tNETFF1R42C44[2][B]arkanoid/score_1[2]_2_s0/D

Data Required Path:

@@ -2303,7 +2393,7 @@

Path3

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -2313,8 +2403,8 @@

Path3

tNET RR 1 -R43C40[0][B] -arkanoid/qxb_5_s2/CLK +R42C44[2][B] +arkanoid/score_1[2]_2_s0/CLK 40.767 @@ -2322,8 +2412,8 @@

Path3

tSu 1 -R43C40[0][B] -arkanoid/qxb_5_s2 +R42C44[2][B] +arkanoid/score_1[2]_2_s0

Path Statistics:

@@ -2338,7 +2428,7 @@

Path3

Logic Level -8 +13 Arrival Clock Path Delay @@ -2346,7 +2436,7 @@

Path3

Arrival Data Path Delay -cell: 3.077, 46.889%; route: 3.286, 50.064%; tC2Q: 0.200, 3.047% +cell: 5.350, 66.103%; route: 2.543, 31.426%; tC2Q: 0.200, 2.471% Required Clock Path Delay @@ -2358,11 +2448,11 @@

Path4

- + - + @@ -2370,11 +2460,11 @@

Path4

- + - + @@ -2428,7 +2518,7 @@

Path4

- + @@ -2438,152 +2528,242 @@

Path4

- - + + - - - + + + - - + + - - + + - - + + - - - + + + - - + + - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + + + + + + + + + + - - - + + + - - + + + + + + + + + + + - - + + - + - - - + + + - - + + - - + + - - + + - - + + - + - - + + - - + + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - + + + + + + + + + + + - - + + - - + + - + - - - + + + - - + + - - + + - - + + - - - + + + - - + + - - + +
Slack33.40732.184
Data Arrival Time7.3608.583
Data Required Time
Fromarkanoid/qxb_5_s2arkanoid/score_counter_4_s0
Toarkanoid/qxb_4_s2arkanoid/score_1[4]_4_s0
Launch Clk 0.587 tINS RR207277 IOL29[A] clk_ibuf/O
tNET RR 1R43C40[0][B]arkanoid/qxb_5_s2/CLKR41C43[1][A]arkanoid/score_counter_4_s0/CLK
0.997 0.200 tC2Q RF22R43C40[0][B]arkanoid/qxb_5_s2/Q19R41C43[1][A]arkanoid/score_counter_4_s0/Q
1.3930.3961.3700.374 tNET FF 1R42C39[0][B]arkanoid/n155_s4/I1R42C41[3][B]arkanoid/n7053_s12/I0
1.8390.4461.8490.478 tINS FF8R42C39[0][B]arkanoid/n155_s4/F16R42C41[3][B]arkanoid/n7053_s12/F
2.2190.3801.8710.023 tNET FF 1R41C40[2][A]arkanoid/n9052_s3/I2R42C41[2][B]arkanoid/n7054_s15/I2
2.3450.473tINSFR1R42C41[2][B]arkanoid/n7054_s15/F
2.4690.124tNETRR1R42C41[3][A]arkanoid/n7054_s13/I3
2.9600.491tINSRR1R42C41[3][A]arkanoid/n7054_s13/F
2.9610.001tNETRR1R42C41[2][A]arkanoid/n7054_s12/I0
2.6093.352 0.390 tINSRF11R42C41[2][A]arkanoid/n7054_s12/F
3.7090.357tNET FF7R41C40[2][A]arkanoid/n9052_s3/F1R42C42[2][A]arkanoid/n7055_s21/I1
2.9640.3554.1550.446tINSFF3R42C42[2][A]arkanoid/n7055_s21/F
4.7200.565 tNET FF 1R41C39[0][A]arkanoid/n3294_s37/I0R44C44[2][A]arkanoid/n7055_s19/I2
3.4425.198 0.478 tINS FF1R41C39[0][A]arkanoid/n3294_s37/F16R44C44[2][A]arkanoid/n7055_s19/F
4.0070.5655.2250.026 tNET FF 1R44C39[0][A]arkanoid/n3294_s20/I3R44C44[3][A]arkanoid/n7056_s22/I2
4.4990.4915.6230.398 tINS FR 1R44C39[0][A]arkanoid/n3294_s20/FR44C44[3][A]arkanoid/n7056_s22/F
4.5005.624 0.001 tNET RR 1R44C39[3][A]arkanoid/n3294_s9/I2R44C44[3][B]arkanoid/n7056_s21/I2
4.8200.3206.0220.398 tINSRFRR1R44C44[3][B]arkanoid/n7056_s21/F
6.0230.001tNETRR1R44C44[2][B]arkanoid/n7056_s20/I3
6.4970.473tINSRR25R44C44[2][B]arkanoid/n7056_s20/F
6.5060.009tNETRR 1R44C39[3][A]arkanoid/n3294_s9/FR44C44[1][B]arkanoid/n7059_s3/I0
6.9520.446tINSRF12R44C44[1][B]arkanoid/n7059_s3/F
5.1760.3567.3960.445 tNET FF 1R43C38[1][B]arkanoid/n3294_s4/I2R42C46[0][B]arkanoid/n7092_s2/I0
5.6547.875 0.478 tINS FF4R43C38[1][B]arkanoid/n3294_s4/F2R42C46[0][B]arkanoid/n7092_s2/F
6.2560.6028.0910.217 tNET FF 1R39C41[2][A]arkanoid/qxb_9_s2/I0R42C44[1][A]arkanoid/n7110_s0/I2
6.7300.4738.5830.491 tINS FR10R39C41[2][A]arkanoid/qxb_9_s2/F1R42C44[1][A]arkanoid/n7110_s0/F
7.3600.6308.5830.000 tNET RR 1R43C40[0][A]arkanoid/qxb_4_s2/CER42C44[1][A]arkanoid/score_1[4]_4_s0/D

Data Required Path:

@@ -2629,7 +2809,7 @@

Path4

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -2639,8 +2819,8 @@

Path4

tNET RR 1 -R43C40[0][A] -arkanoid/qxb_4_s2/CLK +R42C44[1][A] +arkanoid/score_1[4]_4_s0/CLK 40.767 @@ -2648,8 +2828,8 @@

Path4

tSu 1 -R43C40[0][A] -arkanoid/qxb_4_s2 +R42C44[1][A] +arkanoid/score_1[4]_4_s0

Path Statistics:

@@ -2664,7 +2844,7 @@

Path4

Logic Level -8 +13 Arrival Clock Path Delay @@ -2672,7 +2852,7 @@

Path4

Arrival Data Path Delay -cell: 3.077, 46.889%; route: 3.286, 50.064%; tC2Q: 0.200, 3.047% +cell: 5.443, 69.903%; route: 2.143, 27.528%; tC2Q: 0.200, 2.569% Required Clock Path Delay @@ -2684,11 +2864,11 @@

Path5

- + - + @@ -2696,11 +2876,11 @@

Path5

- + - + @@ -2754,7 +2934,7 @@

Path5

- + @@ -2764,152 +2944,188 @@

Path5

- - + + - - - + + + - - + + - - + + - - + + - - - + + + - - + + - - + + - - + + - - - + + + - - + + - - + + - + - - - + + + - - + + - - + + - - + + - - - + + + - - + + - - + + - - + + - - - + + + - - + + - - + + - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - + + - - + + - - + + - - + + - - + + - - + + - - + +
Slack33.43732.208
Data Arrival Time7.3308.558
Data Required Time
Fromarkanoid/qxb_0_s0arkanoid/qyb_3_s0
Toarkanoid/qdy_s0arkanoid/score_counter_6_s0
Launch Clk 0.587 tINS RR207277 IOL29[A] clk_ibuf/O
tNET RR 1R43C41[1][A]arkanoid/qxb_0_s0/CLKR36C34[0][A]arkanoid/qyb_3_s0/CLK
0.997 0.200 tC2Q RF17R43C41[1][A]arkanoid/qxb_0_s0/Q12R36C34[0][A]arkanoid/qyb_3_s0/Q
1.6190.6231.6150.618 tNET FF 1R41C40[2][B]arkanoid/n9048_s6/I0R39C38[1][B]arkanoid/n10667_s4/I0
2.0100.3902.0610.446 tINS FF10R41C40[2][B]arkanoid/n9048_s6/F4R39C38[1][B]arkanoid/n10667_s4/F
2.5830.5732.4210.360 tNET FF 1R43C39[2][B]arkanoid/n9048_s4/I0R40C39[1][B]arkanoid/n10667_s1/I1
2.9730.3902.8990.478 tINS FF5R43C39[2][B]arkanoid/n9048_s4/F8R40C39[1][B]arkanoid/n10667_s1/F
3.5710.5973.4900.591 tNET FF 1R43C44[3][B]arkanoid/n9048_s2/I0R41C43[2][B]arkanoid/n3739_s10/I1
3.9613.880 0.390 tINS FF4R43C44[3][B]arkanoid/n9048_s2/F10R41C43[2][B]arkanoid/n3739_s10/F
4.1820.2214.8510.971 tNET FF 1R41C44[0][A]arkanoid/n3294_s29/I3R40C44[0][B]arkanoid/n3737_s15/I3
4.6550.4735.3430.491 tINS FR1R41C44[0][A]arkanoid/n3294_s29/F2R40C44[0][B]arkanoid/n3737_s15/F
4.8040.1495.4920.150 tNET RR 1R41C43[3][A]arkanoid/n3294_s13/I0R40C43[2][A]arkanoid/n3737_s20/I3
5.1940.3905.9710.478 tINS RF2R41C43[3][A]arkanoid/n3294_s13/F3R40C43[2][A]arkanoid/n3737_s20/F
5.7650.5706.3350.364 tNET FF 1R39C42[3][B]arkanoid/n3294_s6/I1R41C44[3][B]arkanoid/n3737_s3/I3
6.2100.4466.6540.320 tINS FF3R41C44[3][B]arkanoid/n3737_s3/F
6.8720.218tNETFF1R41C42[1][A]arkanoid/n3735_s14/I1
7.3460.473tINSFR1R41C42[1][A]arkanoid/n3735_s14/F
7.4940.149tNETRR1R40C42[2][B]arkanoid/n3735_s4/I2
7.8140.320tINSRF 2R39C42[3][B]arkanoid/n3294_s6/FR40C42[2][B]arkanoid/n3735_s4/F
6.7650.5558.1600.346 tNET FF 1R38C41[2][B]arkanoid/qdy_s3/I1R40C41[0][A]arkanoid/n3736_s1/I3
7.0470.2828.5580.398 tINS FR 1R38C41[2][B]arkanoid/qdy_s3/FR40C41[0][A]arkanoid/n3736_s1/F
7.3300.2838.5580.000 tNET RR 1R38C40[2][A]arkanoid/qdy_s0/CER40C41[0][A]arkanoid/score_counter_6_s0/D

Data Required Path:

@@ -2955,7 +3171,7 @@

Path5

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -2965,8 +3181,8 @@

Path5

tNET RR 1 -R38C40[2][A] -arkanoid/qdy_s0/CLK +R40C41[0][A] +arkanoid/score_counter_6_s0/CLK 40.767 @@ -2974,8 +3190,8 @@

Path5

tSu 1 -R38C40[2][A] -arkanoid/qdy_s0 +R40C41[0][A] +arkanoid/score_counter_6_s0

Path Statistics:

@@ -2990,7 +3206,7 @@

Path5

Logic Level -8 +10 Arrival Clock Path Delay @@ -2998,7 +3214,7 @@

Path5

Arrival Data Path Delay -cell: 2.763, 42.288%; route: 3.570, 54.651%; tC2Q: 0.200, 3.061% +cell: 3.795, 48.900%; route: 3.766, 48.524%; tC2Q: 0.200, 2.577% Required Clock Path Delay @@ -3010,11 +3226,11 @@

Path6

- + - + @@ -3022,11 +3238,11 @@

Path6

- + - + @@ -3080,7 +3296,7 @@

Path6

- + @@ -3090,152 +3306,242 @@

Path6

- - + + - - - + + + - - + + - - + + - - + + - - - + + + - - + + - - + + - - + + - - - - + + + + - - + + - + - - + + - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - + + + - - + + - - + + - - + + + + + + + + + + + + + + + + + + + + - - + + - - + + - - + + - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - + + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - + - - + + - + - + - - + +
Slack33.51432.212
Data Arrival Time7.2538.554
Data Required Time
Fromarkanoid/qxb_0_s0arkanoid/score_counter_4_s0
Toarkanoid/qdy_s0arkanoid/score_1[5]_3_s0
Launch Clk 0.587 tINS RR207277 IOL29[A] clk_ibuf/O
tNET RR 1R43C41[1][A]arkanoid/qxb_0_s0/CLKR41C43[1][A]arkanoid/score_counter_4_s0/CLK
0.997 0.200 tC2Q RF17R43C41[1][A]arkanoid/qxb_0_s0/Q19R41C43[1][A]arkanoid/score_counter_4_s0/Q
1.6190.6231.3700.374 tNET FF 1R41C40[2][B]arkanoid/n9048_s6/I0R42C41[3][B]arkanoid/n7053_s12/I0
2.0100.3901.8490.478 tINS FF10R41C40[2][B]arkanoid/n9048_s6/F16R42C41[3][B]arkanoid/n7053_s12/F
2.5830.5731.8710.023 tNET FF 1R43C39[2][B]arkanoid/n9048_s4/I0R42C41[2][B]arkanoid/n7054_s15/I2
2.9730.3902.3450.473 tINSFF5R43C39[2][B]arkanoid/n9048_s4/FFR1R42C41[2][B]arkanoid/n7054_s15/F
3.5710.5972.4690.124 tNETFFRR 1R43C44[3][B]arkanoid/n9048_s2/I0R42C41[3][A]arkanoid/n7054_s13/I3
3.9610.3902.9600.491tINSRR1R42C41[3][A]arkanoid/n7054_s13/F
2.9610.001tNETRR1R42C41[2][A]arkanoid/n7054_s12/I0
3.3520.390tINSRF11R42C41[2][A]arkanoid/n7054_s12/F
3.7090.357tNETFF1R42C42[2][A]arkanoid/n7055_s21/I1
4.1550.446 tINS FF4R43C44[3][B]arkanoid/n9048_s2/F3R42C42[2][A]arkanoid/n7055_s21/F
4.1820.2214.7200.565 tNET FF 1R41C44[0][A]arkanoid/n3294_s29/I3R44C44[2][A]arkanoid/n7055_s19/I2
4.6550.4735.1980.478tINSFF16R44C44[2][A]arkanoid/n7055_s19/F
5.2250.026tNETFF1R44C44[3][A]arkanoid/n7056_s22/I2
5.6230.398 tINS FR 1R41C44[0][A]arkanoid/n3294_s29/FR44C44[3][A]arkanoid/n7056_s22/F
4.8040.1495.6240.001 tNET RR 1R41C43[3][A]arkanoid/n3294_s13/I0R44C44[3][B]arkanoid/n7056_s21/I2
5.1940.3906.0220.398tINSRR1R44C44[3][B]arkanoid/n7056_s21/F
6.0230.001tNETRR1R44C44[2][B]arkanoid/n7056_s20/I3
6.4970.473tINSRR25R44C44[2][B]arkanoid/n7056_s20/F
6.5060.009tNETRR1R44C44[1][B]arkanoid/n7059_s3/I0
6.9520.446 tINS RF2R41C43[3][A]arkanoid/n3294_s13/F12R44C44[1][B]arkanoid/n7059_s3/F
5.7650.5707.3960.445 tNET FF 1R39C42[3][B]arkanoid/n3294_s6/I1R42C46[0][B]arkanoid/n7092_s2/I0
6.2100.4467.8750.478 tINS FF 2R39C42[3][B]arkanoid/n3294_s6/FR42C46[0][B]arkanoid/n7092_s2/F
6.7790.5698.2340.360 tNET FF 1R38C40[2][A]arkanoid/n3294_s3/I2R42C44[0][B]arkanoid/n7100_s1/I3
7.2530.4738.5540.320 tINSFRFF 1R38C40[2][A]arkanoid/n3294_s3/FR42C44[0][B]arkanoid/n7100_s1/F
7.2538.554 0.000 tNETRRFF 1R38C40[2][A]arkanoid/qdy_s0/DR42C44[0][B]arkanoid/score_1[5]_3_s0/D

Data Required Path:

@@ -3281,7 +3587,7 @@

Path6

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -3291,8 +3597,8 @@

Path6

tNET RR 1 -R38C40[2][A] -arkanoid/qdy_s0/CLK +R42C44[0][B] +arkanoid/score_1[5]_3_s0/CLK 40.767 @@ -3300,8 +3606,8 @@

Path6

tSu 1 -R38C40[2][A] -arkanoid/qdy_s0 +R42C44[0][B] +arkanoid/score_1[5]_3_s0

Path Statistics:

@@ -3316,7 +3622,7 @@

Path6

Logic Level -8 +13 Arrival Clock Path Delay @@ -3324,7 +3630,7 @@

Path6

Arrival Data Path Delay -cell: 2.954, 45.758%; route: 3.302, 51.144%; tC2Q: 0.200, 3.098% +cell: 5.271, 67.948%; route: 2.286, 29.474%; tC2Q: 0.200, 2.578% Required Clock Path Delay @@ -3336,11 +3642,11 @@

Path7

- + - + @@ -3348,11 +3654,11 @@

Path7

- + - + @@ -3406,7 +3712,7 @@

Path7

- + @@ -3416,152 +3722,260 @@

Path7

- - + + - - - + + + - - + + - - + + - - + + - - - + + + - - + + - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + + + + + + + + + + - - - + + + - - + + + + + + + + + + + - - + + - + - - - + + + - - + + - - + + - - + + - - + + - + - - + + - - + + - + - - + + - - + + - + - - + + - - + + - - - - + + + + - - + + + + + + + + + + + + + + + + + + + + - - + + - - + + - - - + + + + + + + + + + + + + + + + + + + + + - - + + - - + + + + + + + + + + + + + + + + + + + +
Slack33.56532.222
Data Arrival Time7.2018.545
Data Required Time
Fromarkanoid/qxb_5_s2arkanoid/score_counter_4_s0
Toarkanoid/qxb_0_s0arkanoid/score_1[2]_1_s0
Launch Clk 0.587 tINS RR207277 IOL29[A] clk_ibuf/O
tNET RR 1R43C40[0][B]arkanoid/qxb_5_s2/CLKR41C43[1][A]arkanoid/score_counter_4_s0/CLK
0.997 0.200 tC2Q RF22R43C40[0][B]arkanoid/qxb_5_s2/Q19R41C43[1][A]arkanoid/score_counter_4_s0/Q
1.3930.3961.3700.374 tNET FF 1R42C39[0][B]arkanoid/n155_s4/I1R42C41[3][B]arkanoid/n7053_s12/I0
1.8390.4461.8490.478 tINS FF8R42C39[0][B]arkanoid/n155_s4/F16R42C41[3][B]arkanoid/n7053_s12/F
2.2190.3801.8710.023 tNET FF 1R41C40[2][A]arkanoid/n9052_s3/I2R42C41[2][B]arkanoid/n7054_s15/I2
2.3450.473tINSFR1R42C41[2][B]arkanoid/n7054_s15/F
2.4690.124tNETRR1R42C41[3][A]arkanoid/n7054_s13/I3
2.9600.491tINSRR1R42C41[3][A]arkanoid/n7054_s13/F
2.9610.001tNETRR1R42C41[2][A]arkanoid/n7054_s12/I0
2.6093.352 0.390 tINSRF11R42C41[2][A]arkanoid/n7054_s12/F
3.7090.357tNET FF7R41C40[2][A]arkanoid/n9052_s3/F1R42C42[2][A]arkanoid/n7055_s21/I1
2.9640.3554.1550.446tINSFF3R42C42[2][A]arkanoid/n7055_s21/F
4.7200.565 tNET FF 1R41C39[0][A]arkanoid/n3294_s37/I0R44C44[2][A]arkanoid/n7055_s19/I2
3.4425.198 0.478 tINS FF1R41C39[0][A]arkanoid/n3294_s37/F16R44C44[2][A]arkanoid/n7055_s19/F
4.0070.5655.2250.026 tNET FF 1R44C39[0][A]arkanoid/n3294_s20/I3R44C44[3][A]arkanoid/n7056_s22/I2
4.4990.4915.6230.398 tINS FR 1R44C39[0][A]arkanoid/n3294_s20/FR44C44[3][A]arkanoid/n7056_s22/F
4.5005.624 0.001 tNET RR 1R44C39[3][A]arkanoid/n3294_s9/I2R44C44[3][B]arkanoid/n7056_s21/I2
4.8200.3206.0220.398 tINSRFRR 1R44C39[3][A]arkanoid/n3294_s9/FR44C44[3][B]arkanoid/n7056_s21/F
5.1760.3566.0230.001 tNETFFRR 1R43C38[1][B]arkanoid/n3294_s4/I2R44C44[2][B]arkanoid/n7056_s20/I3
5.6540.4786.4970.473 tINSFF4R43C38[1][B]arkanoid/n3294_s4/FRR25R44C44[2][B]arkanoid/n7056_s20/F
6.2560.6026.5060.009tNETRR1R44C44[1][B]arkanoid/n7059_s3/I0
6.9520.446tINSRF12R44C44[1][B]arkanoid/n7059_s3/F
7.3310.379 tNET FF 1R39C41[2][A]arkanoid/qxb_9_s2/I0R44C43[0][B]arkanoid/n7127_s1/I0
6.7300.4737.7290.398 tINS FR10R39C41[2][A]arkanoid/qxb_9_s2/F2R44C43[0][B]arkanoid/n7127_s1/F
7.7310.002tNETRR1R44C43[1][A]arkanoid/n7139_s1/I3
8.2230.491tINSRR2R44C43[1][A]arkanoid/n7139_s1/F
7.2010.4728.2250.002 tNET RR 1R43C41[1][A]arkanoid/qxb_0_s0/CER44C43[0][A]arkanoid/n7141_s1/I1
8.5450.320tINSRF1R44C43[0][A]arkanoid/n7141_s1/F
8.5450.000tNETFF1R44C43[0][A]arkanoid/score_1[2]_1_s0/D

Data Required Path:

@@ -3607,7 +4021,7 @@

Path7

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -3617,8 +4031,8 @@

Path7

tNET RR 1 -R43C41[1][A] -arkanoid/qxb_0_s0/CLK +R44C43[0][A] +arkanoid/score_1[2]_1_s0/CLK 40.767 @@ -3626,8 +4040,8 @@

Path7

tSu 1 -R43C41[1][A] -arkanoid/qxb_0_s0 +R44C43[0][A] +arkanoid/score_1[2]_1_s0

Path Statistics:

@@ -3642,7 +4056,7 @@

Path7

Logic Level -8 +14 Arrival Clock Path Delay @@ -3650,7 +4064,7 @@

Path7

Arrival Data Path Delay -cell: 3.077, 48.048%; route: 3.127, 48.829%; tC2Q: 0.200, 3.122% +cell: 5.682, 73.338%; route: 1.866, 24.081%; tC2Q: 0.200, 2.581% Required Clock Path Delay @@ -3662,11 +4076,11 @@

Path8

- + - + @@ -3674,11 +4088,11 @@

Path8

- + - + @@ -3732,7 +4146,7 @@

Path8

- + @@ -3742,8 +4156,8 @@

Path8

- - + + @@ -3751,143 +4165,233 @@

Path8

- - + + - - + + - - + + - - + + - - - - + + + + - - + + - + - - + + - - + + - - - - + + + + + + + + + + + + + - + + + + + + + + + + - + - - + + - - + + + + + + + + + + + - - + + - - + + + + + + + + + + + - - + + - + - - + + - - + + - - + + - + - - - + + + - - + + - - + + - - + + - - - + + + - - + + - - + + - - + + - - - - + + + + - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - + +
Slack33.56532.282
Data Arrival Time7.2018.484
Data Required Time
Fromarkanoid/qxb_5_s2arkanoid/score_counter_5_s0
Toarkanoid/qxb_1_s0arkanoid/score[5]_1_s0
Launch Clk 0.587 tINS RR207277 IOL29[A] clk_ibuf/O
tNET RR 1R43C40[0][B]arkanoid/qxb_5_s2/CLKR41C42[0][A]arkanoid/score_counter_5_s0/CLK
0.997 tC2Q RF 22R43C40[0][B]arkanoid/qxb_5_s2/QR41C42[0][A]arkanoid/score_counter_5_s0/Q
1.3930.3961.5990.602 tNET FF 1R42C39[0][B]arkanoid/n155_s4/I1R40C45[2][A]arkanoid/n6846_s18/I3
1.8390.4462.0900.491 tINSFF8R42C39[0][B]arkanoid/n155_s4/FFR1R40C45[2][A]arkanoid/n6846_s18/F
2.2190.3802.0910.001 tNETFFRR 1R41C40[2][A]arkanoid/n9052_s3/I2R40C45[2][B]arkanoid/n6846_s17/I0
2.6090.3902.4890.398 tINSFF7R41C40[2][A]arkanoid/n9052_s3/FRR1R40C45[2][B]arkanoid/n6846_s17/F
2.4900.001tNETRR1R40C45[3][A]arkanoid/n6846_s13/I2
2.9640.3550.473tINSRR1R40C45[3][A]arkanoid/n6846_s13/F
2.9650.001 tNETFFRR 1R41C39[0][A]arkanoid/n3294_s37/I0R40C45[3][B]arkanoid/n6846_s8/I3
3.4420.4783.3550.390 tINSRF4R40C45[3][B]arkanoid/n6846_s8/F
3.3700.015tNET FF 1R41C39[0][A]arkanoid/n3294_s37/FR40C45[1][B]arkanoid/n6843_s17/I3
4.0070.5653.8160.446tINSFF5R40C45[1][B]arkanoid/n6843_s17/F
4.2550.439 tNET FF 1R44C39[0][A]arkanoid/n3294_s20/I3R38C43[3][B]arkanoid/n6845_s6/I0
4.4994.746 0.491 tINS FR 1R44C39[0][A]arkanoid/n3294_s20/FR38C43[3][B]arkanoid/n6845_s6/F
4.5000.0014.8950.149 tNET RR 1R44C39[3][A]arkanoid/n3294_s9/I2R38C44[3][A]arkanoid/n6845_s5/I0
4.8205.214 0.320 tINS RF1R44C39[3][A]arkanoid/n3294_s9/F11R38C44[3][A]arkanoid/n6845_s5/F
5.1760.3565.3920.177 tNET FF 1R43C38[1][B]arkanoid/n3294_s4/I2R38C43[3][A]arkanoid/n6843_s16/I2
5.6540.4785.7820.390 tINS FF4R43C38[1][B]arkanoid/n3294_s4/F10R38C43[3][A]arkanoid/n6843_s16/F
6.2560.6025.8050.023 tNET FF 1R39C41[2][A]arkanoid/qxb_9_s2/I0R38C43[2][A]arkanoid/n6878_s2/I1
6.7300.4736.1960.390 tINSFR10R39C41[2][A]arkanoid/qxb_9_s2/FFF7R38C43[2][A]arkanoid/n6878_s2/F
7.2010.4726.5730.377tNETFF1R38C40[3][B]arkanoid/n6895_s3/I3
7.0190.446tINSFF8R38C40[3][B]arkanoid/n6895_s3/F
7.2480.229tNETFF1R36C40[3][B]arkanoid/n6909_s1/I0
7.7260.478tINSFF2R36C40[3][B]arkanoid/n6909_s1/F
8.0860.360tNETFF1R36C43[1][B]arkanoid/n6923_s2/I3
8.4840.398tINSFR1R36C43[1][B]arkanoid/n6923_s2/F
8.4840.000 tNET RR 1R43C41[0][A]arkanoid/qxb_1_s0/CER36C43[1][B]arkanoid/score[5]_1_s0/D

Data Required Path:

@@ -3933,7 +4437,7 @@

Path8

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -3943,8 +4447,8 @@

Path8

tNET RR 1 -R43C41[0][A] -arkanoid/qxb_1_s0/CLK +R36C43[1][B] +arkanoid/score[5]_1_s0/CLK 40.767 @@ -3952,8 +4456,8 @@

Path8

tSu 1 -R43C41[0][A] -arkanoid/qxb_1_s0 +R36C43[1][B] +arkanoid/score[5]_1_s0

Path Statistics:

@@ -3968,7 +4472,7 @@

Path8

Logic Level -8 +13 Arrival Clock Path Delay @@ -3976,7 +4480,7 @@

Path8

Arrival Data Path Delay -cell: 3.077, 48.048%; route: 3.127, 48.829%; tC2Q: 0.200, 3.122% +cell: 5.113, 66.514%; route: 2.374, 30.885%; tC2Q: 0.200, 2.601% Required Clock Path Delay @@ -3988,11 +4492,11 @@

Path9

- + - + @@ -4000,11 +4504,11 @@

Path9

- + - + @@ -4058,7 +4562,7 @@

Path9

- + @@ -4068,152 +4572,224 @@

Path9

- - + + - - - + + + - - + + - - + + - - + + - - - + + + - - + + - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + + + + + + + + + + + + + + + + + + + - - - + + + - - + + + + + + + + + + + - - + + - + - - - + + + - - + + - - + + - - + + - - + + - + - - + + - - + + - + + + + + + + + + + - - + + + + + + + + + + + - - + + - + - - + + - - + + - - - - + + + + - - + + - - + + - - + + - - - - + + + + - - + + - + - - + +
Slack33.56532.365
Data Arrival Time7.2018.401
Data Required Time
Fromarkanoid/qxb_5_s2arkanoid/score_counter_4_s0
Toarkanoid/qxb_2_s0arkanoid/score_1[3]_2_s0
Launch Clk 0.587 tINS RR207277 IOL29[A] clk_ibuf/O
tNET RR 1R43C40[0][B]arkanoid/qxb_5_s2/CLKR41C43[1][A]arkanoid/score_counter_4_s0/CLK
0.997 0.200 tC2Q RF22R43C40[0][B]arkanoid/qxb_5_s2/Q19R41C43[1][A]arkanoid/score_counter_4_s0/Q
1.3930.3961.3700.374 tNET FF 1R42C39[0][B]arkanoid/n155_s4/I1R42C41[3][B]arkanoid/n7053_s12/I0
1.8390.4461.8490.478 tINS FF8R42C39[0][B]arkanoid/n155_s4/F16R42C41[3][B]arkanoid/n7053_s12/F
2.2190.3801.8710.023 tNET FF 1R41C40[2][A]arkanoid/n9052_s3/I2R42C41[2][B]arkanoid/n7054_s15/I2
2.3450.473tINSFR1R42C41[2][B]arkanoid/n7054_s15/F
2.4690.124tNETRR1R42C41[3][A]arkanoid/n7054_s13/I3
2.9600.491tINSRR1R42C41[3][A]arkanoid/n7054_s13/F
2.6092.9610.001tNETRR1R42C41[2][A]arkanoid/n7054_s12/I0
3.352 0.390 tINSRF11R42C41[2][A]arkanoid/n7054_s12/F
3.7090.357tNET FF7R41C40[2][A]arkanoid/n9052_s3/F1R42C42[2][A]arkanoid/n7055_s21/I1
2.9640.3554.1550.446tINSFF3R42C42[2][A]arkanoid/n7055_s21/F
4.7200.565 tNET FF 1R41C39[0][A]arkanoid/n3294_s37/I0R44C44[2][A]arkanoid/n7055_s19/I2
3.4425.198 0.478 tINS FF1R41C39[0][A]arkanoid/n3294_s37/F16R44C44[2][A]arkanoid/n7055_s19/F
4.0070.5655.2250.026 tNET FF 1R44C39[0][A]arkanoid/n3294_s20/I3R44C44[3][A]arkanoid/n7056_s22/I2
4.4990.4915.6230.398 tINS FR 1R44C39[0][A]arkanoid/n3294_s20/FR44C44[3][A]arkanoid/n7056_s22/F
4.5005.624 0.001 tNET RR 1R44C39[3][A]arkanoid/n3294_s9/I2R44C44[3][B]arkanoid/n7056_s21/I2
4.8200.3206.0220.398 tINSRFRR1R44C44[3][B]arkanoid/n7056_s21/F
6.0230.001tNETRR 1R44C39[3][A]arkanoid/n3294_s9/FR44C44[2][B]arkanoid/n7056_s20/I3
6.4970.473tINSRR25R44C44[2][B]arkanoid/n7056_s20/F
5.1760.3566.5060.009 tNETFFRR 1R43C38[1][B]arkanoid/n3294_s4/I2R44C44[1][B]arkanoid/n7059_s3/I0
5.6540.4786.9520.446 tINSFF4R43C38[1][B]arkanoid/n3294_s4/FRF12R44C44[1][B]arkanoid/n7059_s3/F
6.2560.6027.3310.379 tNET FF 1R39C41[2][A]arkanoid/qxb_9_s2/I0R44C43[0][B]arkanoid/n7127_s1/I0
6.7300.4737.7210.390 tINSFR10R39C41[2][A]arkanoid/qxb_9_s2/FFF2R44C43[0][B]arkanoid/n7127_s1/F
7.2010.4728.4010.680 tNETRRFF 1R43C41[0][B]arkanoid/qxb_2_s0/CER42C44[2][A]arkanoid/score_1[3]_2_s0/D

Data Required Path:

@@ -4259,7 +4835,7 @@

Path9

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -4269,8 +4845,8 @@

Path9

tNET RR 1 -R43C41[0][B] -arkanoid/qxb_2_s0/CLK +R42C44[2][A] +arkanoid/score_1[3]_2_s0/CLK 40.767 @@ -4278,8 +4854,8 @@

Path9

tSu 1 -R43C41[0][B] -arkanoid/qxb_2_s0 +R42C44[2][A] +arkanoid/score_1[3]_2_s0

Path Statistics:

@@ -4294,7 +4870,7 @@

Path9

Logic Level -8 +12 Arrival Clock Path Delay @@ -4302,7 +4878,7 @@

Path9

Arrival Data Path Delay -cell: 3.077, 48.048%; route: 3.127, 48.829%; tC2Q: 0.200, 3.122% +cell: 4.863, 63.956%; route: 2.541, 33.415%; tC2Q: 0.200, 2.630% Required Clock Path Delay @@ -4314,11 +4890,11 @@

Path10

- + - + @@ -4326,11 +4902,11 @@

Path10

- + - + @@ -4384,7 +4960,7 @@

Path10

- + @@ -4394,152 +4970,206 @@

Path10

- - + + - - - + + + - - + + - - + + - - + + - - - + + + - - + + - - + + - - + + - - - - + + + + - - + + - + - - + + - - + + - + - - + + - - + + - + - - + + - + - + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - - - + + + + - - + + + + + + + + + + + + + + + + + + + + - - + + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + - - + + - - + +
Slack33.56532.416
Data Arrival Time7.2018.351
Data Required Time
Fromarkanoid/qxb_5_s2arkanoid/qxb_1_s0
Toarkanoid/qxb_6_s0arkanoid/qxb_3_s2
Launch Clk 0.587 tINS RR207277 IOL29[A] clk_ibuf/O
tNET RR 1R43C40[0][B]arkanoid/qxb_5_s2/CLKR44C36[2][B]arkanoid/qxb_1_s0/CLK
0.997 0.200 tC2Q RF22R43C40[0][B]arkanoid/qxb_5_s2/Q19R44C36[2][B]arkanoid/qxb_1_s0/Q
1.3930.3961.5910.594 tNET FF 1R42C39[0][B]arkanoid/n155_s4/I1R43C33[3][B]arkanoid/n3744_s53/I2
1.8390.4462.0690.478 tINS FF8R42C39[0][B]arkanoid/n155_s4/F2R43C33[3][B]arkanoid/n3744_s53/F
2.2190.3802.2860.217 tNET FF 1R41C40[2][A]arkanoid/n9052_s3/I2R44C33[3][B]arkanoid/n3744_s51/I1
2.6090.3902.7770.491 tINSFF7R41C40[2][A]arkanoid/n9052_s3/FFR1R44C33[3][B]arkanoid/n3744_s51/F
2.9640.3552.9260.149 tNETFFRR 1R41C39[0][A]arkanoid/n3294_s37/I0R44C32[1][B]arkanoid/n3744_s48/I2
3.4420.4783.3240.398 tINSFFRR 1R41C39[0][A]arkanoid/n3294_s37/FR44C32[1][B]arkanoid/n3744_s48/F
4.0070.5653.3250.001 tNETFFRR 1R44C39[0][A]arkanoid/n3294_s20/I3R44C32[2][A]arkanoid/n3744_s42/I2
4.4993.817 0.491 tINSFRRR 1R44C39[0][A]arkanoid/n3294_s20/FR44C32[2][A]arkanoid/n3744_s42/F
4.5000.0013.9650.149 tNET RR 1R44C39[3][A]arkanoid/n3294_s9/I2R43C32[1][A]arkanoid/n3744_s30/I2
4.8200.3204.4110.446 tINS RF 1R44C39[3][A]arkanoid/n3294_s9/FR43C32[1][A]arkanoid/n3744_s30/F
5.1760.3564.8960.485 tNET FF 1R43C38[1][B]arkanoid/n3294_s4/I2R44C32[3][B]arkanoid/n3744_s19/I2
5.6540.4785.3700.473 tINSFF4R43C38[1][B]arkanoid/n3294_s4/FFR1R44C32[3][B]arkanoid/n3744_s19/F
6.2560.6025.5180.149tNETRR1R43C32[3][B]arkanoid/n3744_s9/I2
5.8380.320tINSRF2R43C32[3][B]arkanoid/n3744_s9/F
6.2900.451 tNET FF 1R39C41[2][A]arkanoid/qxb_9_s2/I0R39C33[2][A]arkanoid/qyb_9_s3/I2
6.7306.763 0.473 tINS FR2R39C33[2][A]arkanoid/qyb_9_s3/F
6.9140.151tNETRR1R39C34[3][A]arkanoid/qyb_9_s2/I1
7.3050.390tINSRF11R39C34[3][A]arkanoid/qyb_9_s2/F
7.3240.019tNETFF1R39C34[2][B]arkanoid/qxb_9_s2/I2
7.7220.398tINSFR 10R39C41[2][A]R39C34[2][B] arkanoid/qxb_9_s2/F
7.2010.4728.3510.629 tNET RR 1R43C41[1][B]arkanoid/qxb_6_s0/CER44C36[0][A]arkanoid/qxb_3_s2/CE

Data Required Path:

@@ -4585,7 +5215,7 @@

Path10

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -4595,8 +5225,8 @@

Path10

tNET RR 1 -R43C41[1][B] -arkanoid/qxb_6_s0/CLK +R44C36[0][A] +arkanoid/qxb_3_s2/CLK 40.767 @@ -4604,8 +5234,8 @@

Path10

tSu 1 -R43C41[1][B] -arkanoid/qxb_6_s0 +R44C36[0][A] +arkanoid/qxb_3_s2

Path Statistics:

@@ -4620,7 +5250,7 @@

Path10

Logic Level -8 +11 Arrival Clock Path Delay @@ -4628,7 +5258,7 @@

Path10

Arrival Data Path Delay -cell: 3.077, 48.048%; route: 3.127, 48.829%; tC2Q: 0.200, 3.122% +cell: 4.360, 57.716%; route: 2.994, 39.636%; tC2Q: 0.200, 2.647% Required Clock Path Delay @@ -4640,11 +5270,11 @@

Path11

- + - + @@ -4652,11 +5282,11 @@

Path11

- + - + @@ -4710,7 +5340,7 @@

Path11

- + @@ -4720,152 +5350,206 @@

Path11

- - + + - - - + + + - - + + - - + + - - + + - - - + + + - - + + - - + + - - + + - - - - + + + + - - + + - + - - + + - - + + - + - - + + - - + + - + - - + + - + - + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - - - + + + + - - + + - + - - + + - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + - - + + - - + +
Slack33.56832.416
Data Arrival Time7.1988.351
Data Required Time
Fromarkanoid/qxb_5_s2arkanoid/qxb_1_s0
Toarkanoid/qxb_8_s2arkanoid/qxb_1_s0
Launch Clk 0.587 tINS RR207277 IOL29[A] clk_ibuf/O
tNET RR 1R43C40[0][B]arkanoid/qxb_5_s2/CLKR44C36[2][B]arkanoid/qxb_1_s0/CLK
0.997 0.200 tC2Q RF22R43C40[0][B]arkanoid/qxb_5_s2/Q19R44C36[2][B]arkanoid/qxb_1_s0/Q
1.3930.3961.5910.594 tNET FF 1R42C39[0][B]arkanoid/n155_s4/I1R43C33[3][B]arkanoid/n3744_s53/I2
1.8390.4462.0690.478 tINS FF8R42C39[0][B]arkanoid/n155_s4/F2R43C33[3][B]arkanoid/n3744_s53/F
2.2190.3802.2860.217 tNET FF 1R41C40[2][A]arkanoid/n9052_s3/I2R44C33[3][B]arkanoid/n3744_s51/I1
2.6090.3902.7770.491 tINSFF7R41C40[2][A]arkanoid/n9052_s3/FFR1R44C33[3][B]arkanoid/n3744_s51/F
2.9640.3552.9260.149 tNETFFRR 1R41C39[0][A]arkanoid/n3294_s37/I0R44C32[1][B]arkanoid/n3744_s48/I2
3.4420.4783.3240.398 tINSFFRR 1R41C39[0][A]arkanoid/n3294_s37/FR44C32[1][B]arkanoid/n3744_s48/F
4.0070.5653.3250.001 tNETFFRR 1R44C39[0][A]arkanoid/n3294_s20/I3R44C32[2][A]arkanoid/n3744_s42/I2
4.4993.817 0.491 tINSFRRR 1R44C39[0][A]arkanoid/n3294_s20/FR44C32[2][A]arkanoid/n3744_s42/F
4.5000.0013.9650.149 tNET RR 1R44C39[3][A]arkanoid/n3294_s9/I2R43C32[1][A]arkanoid/n3744_s30/I2
4.8200.3204.4110.446 tINS RF 1R44C39[3][A]arkanoid/n3294_s9/FR43C32[1][A]arkanoid/n3744_s30/F
5.1760.3564.8960.485 tNET FF 1R43C38[1][B]arkanoid/n3294_s4/I2R44C32[3][B]arkanoid/n3744_s19/I2
5.6540.4785.3700.473 tINSFF4R43C38[1][B]arkanoid/n3294_s4/FFR1R44C32[3][B]arkanoid/n3744_s19/F
6.2560.6025.5180.149 tNETFFRR 1R39C41[2][A]arkanoid/qxb_9_s2/I0R43C32[3][B]arkanoid/n3744_s9/I2
6.7300.4735.8380.320tINSRF2R43C32[3][B]arkanoid/n3744_s9/F
6.2900.451tNETFF1R39C33[2][A]arkanoid/qyb_9_s3/I2
6.7630.473tINSFR2R39C33[2][A]arkanoid/qyb_9_s3/F
6.9140.151tNETRR1R39C34[3][A]arkanoid/qyb_9_s2/I1
7.3050.390tINSRF11R39C34[3][A]arkanoid/qyb_9_s2/F
7.3240.019tNETFF1R39C34[2][B]arkanoid/qxb_9_s2/I2
7.7220.398 tINS FR 10R39C41[2][A]R39C34[2][B] arkanoid/qxb_9_s2/F
7.1980.4688.3510.629 tNET RR 1R44C41[1][A]arkanoid/qxb_8_s2/CER44C36[2][B]arkanoid/qxb_1_s0/CE

Data Required Path:

@@ -4911,7 +5595,7 @@

Path11

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -4921,8 +5605,8 @@

Path11

tNET RR 1 -R44C41[1][A] -arkanoid/qxb_8_s2/CLK +R44C36[2][B] +arkanoid/qxb_1_s0/CLK 40.767 @@ -4930,8 +5614,8 @@

Path11

tSu 1 -R44C41[1][A] -arkanoid/qxb_8_s2 +R44C36[2][B] +arkanoid/qxb_1_s0

Path Statistics:

@@ -4946,7 +5630,7 @@

Path11

Logic Level -8 +11 Arrival Clock Path Delay @@ -4954,7 +5638,7 @@

Path11

Arrival Data Path Delay -cell: 3.077, 48.072%; route: 3.124, 48.804%; tC2Q: 0.200, 3.124% +cell: 4.360, 57.716%; route: 2.994, 39.636%; tC2Q: 0.200, 2.647% Required Clock Path Delay @@ -4966,11 +5650,11 @@

Path12

- + - + @@ -4978,11 +5662,11 @@

Path12

- + - + @@ -5036,7 +5720,7 @@

Path12

- + @@ -5046,152 +5730,206 @@

Path12

- - + + - - - + + + - - + + - - + + - - + + - - - + + + - - + + - - + + - + - - - + + + - - + + - - + + - - + + + + + + + + + + + - - + + - - + + + + + + + + + + + - - + + - - + + - - + + - - + + - - + + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - + + + + + + + + + + + - - + + - - + + - + - - - + + + - - + + - - + + - + - - - + + + - - + + - - + +
Slack33.56832.423
Data Arrival Time7.1988.343
Data Required Time
Fromarkanoid/qxb_5_s2arkanoid/qxb_1_s0
Toarkanoid/qxb_3_s2arkanoid/score_counter_4_s0
Launch Clk 0.587 tINS RR207277 IOL29[A] clk_ibuf/O
tNET RR 1R43C40[0][B]arkanoid/qxb_5_s2/CLKR44C36[2][B]arkanoid/qxb_1_s0/CLK
0.997 0.200 tC2Q RF22R43C40[0][B]arkanoid/qxb_5_s2/Q19R44C36[2][B]arkanoid/qxb_1_s0/Q
1.3930.3961.6440.647 tNET FF 1R42C39[0][B]arkanoid/n155_s4/I1R41C34[3][B]arkanoid/n10669_s6/I1
1.8390.4462.1230.478 tINS FF8R42C39[0][B]arkanoid/n155_s4/F9R41C34[3][B]arkanoid/n10669_s6/F
2.2190.3802.5050.383 tNET FF 1R41C40[2][A]arkanoid/n9052_s3/I2R42C35[0][B]arkanoid/n10669_s3/I0
2.6092.896 0.390 tINS FF7R41C40[2][A]arkanoid/n9052_s3/F2R42C35[0][B]arkanoid/n10669_s3/F
2.9640.3553.2420.346 tNET FF 1R41C39[0][A]arkanoid/n3294_s37/I0R41C35[1][B]arkanoid/n10669_s1/I1
3.4420.4783.5610.320 tINS FF7R41C35[1][B]arkanoid/n10669_s1/F
4.1480.587tNETFF 1R41C39[0][A]arkanoid/n3294_s37/FR42C39[1][B]arkanoid/n3741_s16/I2
4.0070.5654.5940.446tINSFF5R42C39[1][B]arkanoid/n3741_s16/F
4.8150.221 tNET FF 1R44C39[0][A]arkanoid/n3294_s20/I3R44C39[2][B]arkanoid/n3741_s10/I3
4.4990.4915.2880.473 tINS FR 1R44C39[0][A]arkanoid/n3294_s20/FR44C39[2][B]arkanoid/n3741_s10/F
4.5000.0015.4370.149 tNET RR 1R44C39[3][A]arkanoid/n3294_s9/I2R43C39[3][B]arkanoid/n3741_s3/I3
4.8205.756 0.320 tINS RF5R43C39[3][B]arkanoid/n3741_s3/F
6.1110.355tNETFF1R41C39[3][A]arkanoid/n3739_s7/I2
6.4310.320tINSFF2R41C39[3][A]arkanoid/n3739_s7/F
6.4380.008tNETFF 1R44C39[3][A]arkanoid/n3294_s9/FR41C39[0][B]arkanoid/n3735_s37/I3
6.8290.390tINSFF3R41C39[0][B]arkanoid/n3735_s37/F
5.1760.3567.0460.217 tNET FF 1R43C38[1][B]arkanoid/n3294_s4/I2R41C41[0][A]arkanoid/n3737_s22/I1
5.6547.524 0.478 tINS FF4R43C38[1][B]arkanoid/n3294_s4/F2R41C41[0][A]arkanoid/n3737_s22/F
6.2560.6027.8700.346 tNET FF 1R39C41[2][A]arkanoid/qxb_9_s2/I0R41C43[1][A]arkanoid/n3738_s0/I2
6.7308.343 0.473 tINS FR10R39C41[2][A]arkanoid/qxb_9_s2/F1R41C43[1][A]arkanoid/n3738_s0/F
7.1980.4688.3430.000 tNET RR 1R44C41[1][B]arkanoid/qxb_3_s2/CER41C43[1][A]arkanoid/score_counter_4_s0/D

Data Required Path:

@@ -5237,7 +5975,7 @@

Path12

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -5247,8 +5985,8 @@

Path12

tNET RR 1 -R44C41[1][B] -arkanoid/qxb_3_s2/CLK +R41C43[1][A] +arkanoid/score_counter_4_s0/CLK 40.767 @@ -5256,8 +5994,8 @@

Path12

tSu 1 -R44C41[1][B] -arkanoid/qxb_3_s2 +R41C43[1][A] +arkanoid/score_counter_4_s0

Path Statistics:

@@ -5272,7 +6010,7 @@

Path12

Logic Level -8 +11 Arrival Clock Path Delay @@ -5280,7 +6018,7 @@

Path12

Arrival Data Path Delay -cell: 3.077, 48.072%; route: 3.124, 48.804%; tC2Q: 0.200, 3.124% +cell: 4.089, 54.187%; route: 3.257, 43.163%; tC2Q: 0.200, 2.650% Required Clock Path Delay @@ -5292,11 +6030,11 @@

Path13

- + - + @@ -5304,11 +6042,11 @@

Path13

- + - + @@ -5362,7 +6100,7 @@

Path13

- + @@ -5372,152 +6110,188 @@

Path13

- - + + - - - + + + - - + + - - + + - + - - - + + + - - + + - - + + - - + + - - - + + + - - + + - - + + - - + + - - - + + + - - + + - - + + - + - - - + + + - - + + - - + + - - + + - - - + + + - - + + - - + + - - + + - - - + + + - - + + - - + + - + - - - + + + - - + + - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack33.56832.482
Data Arrival Time7.1988.285
Data Required Time
Fromarkanoid/qxb_5_s2arkanoid/qyb_3_s0
Toarkanoid/qxb_7_s0arkanoid/score_counter_7_s0
Launch Clk 0.587 tINS RR207277 IOL29[A] clk_ibuf/O
tNET RR 1R43C40[0][B]arkanoid/qxb_5_s2/CLKR36C34[0][A]arkanoid/qyb_3_s0/CLK
0.997 0.200 tC2Q RF22R43C40[0][B]arkanoid/qxb_5_s2/Q12R36C34[0][A]arkanoid/qyb_3_s0/Q
1.3930.3961.6150.618 tNET FF 1R42C39[0][B]arkanoid/n155_s4/I1R39C38[1][B]arkanoid/n10667_s4/I0
1.8392.061 0.446 tINS FF8R42C39[0][B]arkanoid/n155_s4/F4R39C38[1][B]arkanoid/n10667_s4/F
2.2190.3802.4210.360 tNET FF 1R41C40[2][A]arkanoid/n9052_s3/I2R40C39[1][B]arkanoid/n10667_s1/I1
2.6090.3902.8990.478 tINS FF7R41C40[2][A]arkanoid/n9052_s3/F8R40C39[1][B]arkanoid/n10667_s1/F
2.9640.3553.4900.591 tNET FF 1R41C39[0][A]arkanoid/n3294_s37/I0R41C43[2][B]arkanoid/n3739_s10/I1
3.4420.4783.8800.390 tINS FF1R41C39[0][A]arkanoid/n3294_s37/F10R41C43[2][B]arkanoid/n3739_s10/F
4.0070.5654.8510.971 tNET FF 1R44C39[0][A]arkanoid/n3294_s20/I3R40C44[0][B]arkanoid/n3737_s15/I3
4.4995.343 0.491 tINS FR1R44C39[0][A]arkanoid/n3294_s20/F2R40C44[0][B]arkanoid/n3737_s15/F
4.5000.0015.4920.150 tNET RR 1R44C39[3][A]arkanoid/n3294_s9/I2R40C43[2][A]arkanoid/n3737_s20/I3
4.8200.3205.9710.478 tINS RF1R44C39[3][A]arkanoid/n3294_s9/F3R40C43[2][A]arkanoid/n3737_s20/F
5.1760.3566.3350.364 tNET FF 1R43C38[1][B]arkanoid/n3294_s4/I2R41C44[3][B]arkanoid/n3737_s3/I3
5.6540.4786.6540.320 tINS FF4R43C38[1][B]arkanoid/n3294_s4/F3R41C44[3][B]arkanoid/n3737_s3/F
6.2560.6026.8720.218 tNET FF 1R39C41[2][A]arkanoid/qxb_9_s2/I0R41C42[1][A]arkanoid/n3735_s14/I1
6.7307.346 0.473 tINS FR10R39C41[2][A]arkanoid/qxb_9_s2/F1R41C42[1][A]arkanoid/n3735_s14/F
7.1980.4687.4940.149 tNET RR 1R44C41[0][B]arkanoid/qxb_7_s0/CER40C42[2][B]arkanoid/n3735_s4/I2
7.8140.320tINSRF2R40C42[2][B]arkanoid/n3735_s4/F
7.9650.151tNETFF1R40C41[0][B]arkanoid/n3735_s0/I3
8.2850.320tINSFF1R40C41[0][B]arkanoid/n3735_s0/F
8.2850.000tNETFF1R40C41[0][B]arkanoid/score_counter_7_s0/D

Data Required Path:

@@ -5563,7 +6337,7 @@

Path13

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -5573,8 +6347,8 @@

Path13

tNET RR 1 -R44C41[0][B] -arkanoid/qxb_7_s0/CLK +R40C41[0][B] +arkanoid/score_counter_7_s0/CLK 40.767 @@ -5582,8 +6356,8 @@

Path13

tSu 1 -R44C41[0][B] -arkanoid/qxb_7_s0 +R40C41[0][B] +arkanoid/score_counter_7_s0

Path Statistics:

@@ -5598,7 +6372,7 @@

Path13

Logic Level -8 +10 Arrival Clock Path Delay @@ -5606,7 +6380,7 @@

Path13

Arrival Data Path Delay -cell: 3.077, 48.072%; route: 3.124, 48.804%; tC2Q: 0.200, 3.124% +cell: 3.717, 49.640%; route: 3.571, 47.689%; tC2Q: 0.200, 2.671% Required Clock Path Delay @@ -5618,11 +6392,11 @@

Path14

- + - + @@ -5630,11 +6404,11 @@

Path14

- + - + @@ -5688,7 +6462,7 @@

Path14

- + @@ -5698,152 +6472,224 @@

Path14

- - + + - - - + + + - - + + - - + + - - + + - - - + + + - - + + - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + + + + + + + + + + - - - + + + - - + + + + + + + + + + + - - + + - + - - - + + + - - + + - - + + - - + + - - + + - + - - + + - - + + - + - - + + - - + + - + - - + + - - + + - - - - + + + + - - + + - - + + - - + + - - - + + + + + + + + + + + + + + + + + + + + + - - + + - - + +
Slack33.56832.549
Data Arrival Time7.1988.217
Data Required Time
Fromarkanoid/qxb_5_s2arkanoid/score_counter_4_s0
Toarkanoid/qxb_9_s0arkanoid/score_1[2]_6_s0
Launch Clk 0.587 tINS RR207277 IOL29[A] clk_ibuf/O
tNET RR 1R43C40[0][B]arkanoid/qxb_5_s2/CLKR41C43[1][A]arkanoid/score_counter_4_s0/CLK
0.997 0.200 tC2Q RF22R43C40[0][B]arkanoid/qxb_5_s2/Q19R41C43[1][A]arkanoid/score_counter_4_s0/Q
1.3930.3961.3700.374 tNET FF 1R42C39[0][B]arkanoid/n155_s4/I1R42C41[3][B]arkanoid/n7053_s12/I0
1.8390.4461.8490.478 tINS FF8R42C39[0][B]arkanoid/n155_s4/F16R42C41[3][B]arkanoid/n7053_s12/F
2.2190.3801.8710.023 tNET FF 1R41C40[2][A]arkanoid/n9052_s3/I2R42C41[2][B]arkanoid/n7054_s15/I2
2.3450.473tINSFR1R42C41[2][B]arkanoid/n7054_s15/F
2.4690.124tNETRR1R42C41[3][A]arkanoid/n7054_s13/I3
2.9600.491tINSRR1R42C41[3][A]arkanoid/n7054_s13/F
2.9610.001tNETRR1R42C41[2][A]arkanoid/n7054_s12/I0
2.6093.352 0.390 tINSRF11R42C41[2][A]arkanoid/n7054_s12/F
3.7090.357tNET FF7R41C40[2][A]arkanoid/n9052_s3/F1R42C42[2][A]arkanoid/n7055_s21/I1
2.9640.3554.1550.446tINSFF3R42C42[2][A]arkanoid/n7055_s21/F
4.7200.565 tNET FF 1R41C39[0][A]arkanoid/n3294_s37/I0R44C44[2][A]arkanoid/n7055_s19/I2
3.4425.198 0.478 tINS FF1R41C39[0][A]arkanoid/n3294_s37/F16R44C44[2][A]arkanoid/n7055_s19/F
4.0070.5655.2250.026 tNET FF 1R44C39[0][A]arkanoid/n3294_s20/I3R44C44[3][A]arkanoid/n7056_s22/I2
4.4990.4915.6230.398 tINS FR 1R44C39[0][A]arkanoid/n3294_s20/FR44C44[3][A]arkanoid/n7056_s22/F
4.5005.624 0.001 tNET RR 1R44C39[3][A]arkanoid/n3294_s9/I2R44C44[3][B]arkanoid/n7056_s21/I2
4.8200.3206.0220.398 tINSRFRR 1R44C39[3][A]arkanoid/n3294_s9/FR44C44[3][B]arkanoid/n7056_s21/F
5.1760.3566.0230.001 tNETFFRR 1R43C38[1][B]arkanoid/n3294_s4/I2R44C44[2][B]arkanoid/n7056_s20/I3
5.6540.4786.4690.446 tINSFF4R43C38[1][B]arkanoid/n3294_s4/FRF25R44C44[2][B]arkanoid/n7056_s20/F
6.2560.6027.1040.635 tNET FF 1R39C41[2][A]arkanoid/qxb_9_s2/I0R43C41[3][B]arkanoid/n7131_s1/I0
6.7300.4737.5950.491 tINS FR10R39C41[2][A]arkanoid/qxb_9_s2/F1R43C41[3][B]arkanoid/n7131_s1/F
7.7440.149tNETRR1R44C41[0][B]arkanoid/n7131_s0/I0
8.2170.473tINSRR1R44C41[0][B]arkanoid/n7131_s0/F
7.1980.4688.2170.000 tNET RR 1R44C41[0][A]arkanoid/qxb_9_s0/CER44C41[0][B]arkanoid/score_1[2]_6_s0/D

Data Required Path:

@@ -5889,7 +6735,7 @@

Path14

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -5899,8 +6745,8 @@

Path14

tNET RR 1 -R44C41[0][A] -arkanoid/qxb_9_s0/CLK +R44C41[0][B] +arkanoid/score_1[2]_6_s0/CLK 40.767 @@ -5908,8 +6754,8 @@

Path14

tSu 1 -R44C41[0][A] -arkanoid/qxb_9_s0 +R44C41[0][B] +arkanoid/score_1[2]_6_s0

Path Statistics:

@@ -5924,7 +6770,7 @@

Path14

Logic Level -8 +12 Arrival Clock Path Delay @@ -5932,7 +6778,7 @@

Path14

Arrival Data Path Delay -cell: 3.077, 48.072%; route: 3.124, 48.804%; tC2Q: 0.200, 3.124% +cell: 4.964, 66.898%; route: 2.256, 30.407%; tC2Q: 0.200, 2.695% Required Clock Path Delay @@ -5944,11 +6790,11 @@

Path15

- + - + @@ -6042,7 +6888,7 @@

Path15

- + @@ -6051,52 +6897,52 @@

Path15

- + - - + + - - + + - - + + - + - - + + - - + + - + - - + + - + - + - + - - + + - +
Slack22.77523.315
Data Arrival Time29.04228.503
Data Required Time tNET FF 1R29C31[0][B]R36C26[2][A] gw_gao_inst_0/u_icon_top/enable_reg_2_s0/CLK
tC2Q FF 3R29C31[0][B]R36C26[2][A] gw_gao_inst_0/u_icon_top/enable_reg_2_s0/Q
27.6970.52827.3200.152 tNET FF 1R38C30[2][A]gw_gao_inst_0/u_icon_top/n33_s1/I2R36C25[3][A]gw_gao_inst_0/u_icon_top/n33_s3/I1
28.1420.44627.7930.473 tINSFFFR 1R38C30[2][A]gw_gao_inst_0/u_icon_top/n33_s1/FR36C25[3][A]gw_gao_inst_0/u_icon_top/n33_s3/F
28.3550.21327.7940.001 tNETFFRR 1R38C29[3][A]gw_gao_inst_0/u_icon_top/n33_s0/I0R36C25[3][B]gw_gao_inst_0/u_icon_top/n33_s0/I2
28.75428.193 0.398 tINSFRRR 4R38C29[3][A]R36C25[3][B] gw_gao_inst_0/u_icon_top/n33_s0/F
29.0420.28928.5030.310 tNET RR 1R38C28[0][B]R36C24[0][A] gw_gao_inst_0/u_icon_top/module_id_reg_0_s0/CE
@@ -6171,7 +7017,7 @@

Path15

tNET RR 1 -R38C28[0][B] +R36C24[0][A] gw_gao_inst_0/u_icon_top/module_id_reg_0_s0/CLK @@ -6180,7 +7026,7 @@

Path15

tSu 1 -R38C28[0][B] +R36C24[0][A] gw_gao_inst_0/u_icon_top/module_id_reg_0_s0 @@ -6204,7 +7050,7 @@

Path15

Arrival Data Path Delay -cell: 0.844, 40.690%; route: 1.030, 49.668%; tC2Q: 0.200, 9.642% +cell: 0.871, 56.796%; route: 0.463, 30.170%; tC2Q: 0.200, 13.033% Required Clock Path Delay @@ -6216,11 +7062,11 @@

Path16

- + - + @@ -6314,7 +7160,7 @@

Path16

- + @@ -6323,52 +7169,52 @@

Path16

- + - - + + - - + + - - + + - + - - + + - - + + - + - - + + - + - + - + - - + + - +
Slack22.77523.315
Data Arrival Time29.04228.503
Data Required Time tNET FF 1R29C31[0][B]R36C26[2][A] gw_gao_inst_0/u_icon_top/enable_reg_2_s0/CLK
tC2Q FF 3R29C31[0][B]R36C26[2][A] gw_gao_inst_0/u_icon_top/enable_reg_2_s0/Q
27.6970.52827.3200.152 tNET FF 1R38C30[2][A]gw_gao_inst_0/u_icon_top/n33_s1/I2R36C25[3][A]gw_gao_inst_0/u_icon_top/n33_s3/I1
28.1420.44627.7930.473 tINSFFFR 1R38C30[2][A]gw_gao_inst_0/u_icon_top/n33_s1/FR36C25[3][A]gw_gao_inst_0/u_icon_top/n33_s3/F
28.3550.21327.7940.001 tNETFFRR 1R38C29[3][A]gw_gao_inst_0/u_icon_top/n33_s0/I0R36C25[3][B]gw_gao_inst_0/u_icon_top/n33_s0/I2
28.75428.193 0.398 tINSFRRR 4R38C29[3][A]R36C25[3][B] gw_gao_inst_0/u_icon_top/n33_s0/F
29.0420.28928.5030.310 tNET RR 1R38C28[0][A]R36C24[1][B] gw_gao_inst_0/u_icon_top/module_id_reg_1_s0/CE
@@ -6443,7 +7289,7 @@

Path16

tNET RR 1 -R38C28[0][A] +R36C24[1][B] gw_gao_inst_0/u_icon_top/module_id_reg_1_s0/CLK @@ -6452,7 +7298,7 @@

Path16

tSu 1 -R38C28[0][A] +R36C24[1][B] gw_gao_inst_0/u_icon_top/module_id_reg_1_s0 @@ -6476,7 +7322,7 @@

Path16

Arrival Data Path Delay -cell: 0.844, 40.690%; route: 1.030, 49.668%; tC2Q: 0.200, 9.642% +cell: 0.871, 56.796%; route: 0.463, 30.170%; tC2Q: 0.200, 13.033% Required Clock Path Delay @@ -6488,11 +7334,11 @@

Path17

- + - + @@ -6504,7 +7350,7 @@

Path17

- + @@ -6586,7 +7432,7 @@

Path17

- + @@ -6595,53 +7441,53 @@

Path17

- + - - + + - - + + - - + + - + - - + + - - + + - + - - + + - + - + - + - - + + - - + +
Slack22.77523.315
Data Arrival Time29.04228.503
Data Required Time
Togw_gao_inst_0/u_icon_top/module_id_reg_3_s0gw_gao_inst_0/u_icon_top/module_id_reg_2_s0
Launch Clk tNET FF 1R29C31[0][B]R36C26[2][A] gw_gao_inst_0/u_icon_top/enable_reg_2_s0/CLK
tC2Q FF 3R29C31[0][B]R36C26[2][A] gw_gao_inst_0/u_icon_top/enable_reg_2_s0/Q
27.6970.52827.3200.152 tNET FF 1R38C30[2][A]gw_gao_inst_0/u_icon_top/n33_s1/I2R36C25[3][A]gw_gao_inst_0/u_icon_top/n33_s3/I1
28.1420.44627.7930.473 tINSFFFR 1R38C30[2][A]gw_gao_inst_0/u_icon_top/n33_s1/FR36C25[3][A]gw_gao_inst_0/u_icon_top/n33_s3/F
28.3550.21327.7940.001 tNETFFRR 1R38C29[3][A]gw_gao_inst_0/u_icon_top/n33_s0/I0R36C25[3][B]gw_gao_inst_0/u_icon_top/n33_s0/I2
28.75428.193 0.398 tINSFRRR 4R38C29[3][A]R36C25[3][B] gw_gao_inst_0/u_icon_top/n33_s0/F
29.0420.28928.5030.310 tNET RR 1R38C28[1][A]gw_gao_inst_0/u_icon_top/module_id_reg_3_s0/CER36C24[1][A]gw_gao_inst_0/u_icon_top/module_id_reg_2_s0/CE

Data Required Path:

@@ -6715,8 +7561,8 @@

Path17

tNET RR 1 -R38C28[1][A] -gw_gao_inst_0/u_icon_top/module_id_reg_3_s0/CLK +R36C24[1][A] +gw_gao_inst_0/u_icon_top/module_id_reg_2_s0/CLK 51.818 @@ -6724,8 +7570,8 @@

Path17

tSu 1 -R38C28[1][A] -gw_gao_inst_0/u_icon_top/module_id_reg_3_s0 +R36C24[1][A] +gw_gao_inst_0/u_icon_top/module_id_reg_2_s0

Path Statistics:

@@ -6748,7 +7594,7 @@

Path17

Arrival Data Path Delay -cell: 0.844, 40.690%; route: 1.030, 49.668%; tC2Q: 0.200, 9.642% +cell: 0.871, 56.796%; route: 0.463, 30.170%; tC2Q: 0.200, 13.033% Required Clock Path Delay @@ -6760,11 +7606,11 @@

Path18

- + - + @@ -6776,7 +7622,7 @@

Path18

- + @@ -6858,7 +7704,7 @@

Path18

- + @@ -6867,53 +7713,53 @@

Path18

- + - - + + - - + + - - + + - + - - + + - - + + - + - - + + - + - + - + - - + + - - + +
Slack22.93923.315
Data Arrival Time28.87928.503
Data Required Time
Togw_gao_inst_0/u_icon_top/module_id_reg_2_s0gw_gao_inst_0/u_icon_top/module_id_reg_3_s0
Launch Clk tNET FF 1R29C31[0][B]R36C26[2][A] gw_gao_inst_0/u_icon_top/enable_reg_2_s0/CLK
tC2Q FF 3R29C31[0][B]R36C26[2][A] gw_gao_inst_0/u_icon_top/enable_reg_2_s0/Q
27.6970.52827.3200.152 tNET FF 1R38C30[2][A]gw_gao_inst_0/u_icon_top/n33_s1/I2R36C25[3][A]gw_gao_inst_0/u_icon_top/n33_s3/I1
28.1420.44627.7930.473 tINSFFFR 1R38C30[2][A]gw_gao_inst_0/u_icon_top/n33_s1/FR36C25[3][A]gw_gao_inst_0/u_icon_top/n33_s3/F
28.3550.21327.7940.001 tNETFFRR 1R38C29[3][A]gw_gao_inst_0/u_icon_top/n33_s0/I0R36C25[3][B]gw_gao_inst_0/u_icon_top/n33_s0/I2
28.75428.193 0.398 tINSFRRR 4R38C29[3][A]R36C25[3][B] gw_gao_inst_0/u_icon_top/n33_s0/F
28.8790.12528.5030.310 tNET RR 1R38C29[1][B]gw_gao_inst_0/u_icon_top/module_id_reg_2_s0/CER36C24[0][B]gw_gao_inst_0/u_icon_top/module_id_reg_3_s0/CE

Data Required Path:

@@ -6987,8 +7833,8 @@

Path18

tNET RR 1 -R38C29[1][B] -gw_gao_inst_0/u_icon_top/module_id_reg_2_s0/CLK +R36C24[0][B] +gw_gao_inst_0/u_icon_top/module_id_reg_3_s0/CLK 51.818 @@ -6996,8 +7842,8 @@

Path18

tSu 1 -R38C29[1][B] -gw_gao_inst_0/u_icon_top/module_id_reg_2_s0 +R36C24[0][B] +gw_gao_inst_0/u_icon_top/module_id_reg_3_s0

Path Statistics:

@@ -7020,7 +7866,7 @@

Path18

Arrival Data Path Delay -cell: 0.844, 44.169%; route: 0.867, 45.364%; tC2Q: 0.200, 10.467% +cell: 0.871, 56.796%; route: 0.463, 30.170%; tC2Q: 0.200, 13.033% Required Clock Path Delay @@ -7032,11 +7878,11 @@

Path19

- + - + @@ -7044,7 +7890,7 @@

Path19

- + @@ -7130,8 +7976,8 @@

Path19

- - + + @@ -7139,34 +7985,34 @@

Path19

- - + + - - + + - - + + - - + + - - + + - + - +
Slack23.02023.388
Data Arrival Time28.79828.429
Data Required Time
Fromgw_gao_inst_0/u_icon_top/enable_reg_2_s0gw_gao_inst_0/u_icon_top/enable_reg_1_s0
To tNET FF 1R29C31[0][B]gw_gao_inst_0/u_icon_top/enable_reg_2_s0/CLKR36C25[2][B]gw_gao_inst_0/u_icon_top/enable_reg_1_s0/CLK
27.168 tC2Q FF 3R29C31[0][B]gw_gao_inst_0/u_icon_top/enable_reg_2_s0/QR36C25[2][B]gw_gao_inst_0/u_icon_top/enable_reg_1_s0/Q
27.6970.52827.3100.142 tNET FF 1R38C30[1][B]gw_gao_inst_0/u_icon_top/n54_s1/I2R36C25[1][B]gw_gao_inst_0/u_icon_top/n54_s0/I1
28.1700.47327.8010.491 tINS FR 5R38C30[1][B]gw_gao_inst_0/u_icon_top/n54_s1/FR36C25[1][B]gw_gao_inst_0/u_icon_top/n54_s0/F
28.79828.429 0.628 tNET RR 1R38C30[0][A]R36C25[0][A] gw_gao_inst_0/u_icon_top/input_shift_reg_2_s0/CE
@@ -7241,7 +8087,7 @@

Path19

tNET RR 1 -R38C30[0][A] +R36C25[0][A] gw_gao_inst_0/u_icon_top/input_shift_reg_2_s0/CLK @@ -7250,7 +8096,7 @@

Path19

tSu 1 -R38C30[0][A] +R36C25[0][A] gw_gao_inst_0/u_icon_top/input_shift_reg_2_s0 @@ -7274,7 +8120,7 @@

Path19

Arrival Data Path Delay -cell: 0.473, 25.868%; route: 1.156, 63.201%; tC2Q: 0.200, 10.931% +cell: 0.491, 33.633%; route: 0.770, 52.677%; tC2Q: 0.200, 13.689% Required Clock Path Delay @@ -7286,11 +8132,11 @@

Path20

- + - + @@ -7298,7 +8144,7 @@

Path20

- + @@ -7384,8 +8230,8 @@

Path20

- - + + @@ -7393,34 +8239,34 @@

Path20

- - + + - - + + - - + + - - + + - - + + - + - +
Slack23.02023.388
Data Arrival Time28.79828.429
Data Required Time
Fromgw_gao_inst_0/u_icon_top/enable_reg_2_s0gw_gao_inst_0/u_icon_top/enable_reg_1_s0
To tNET FF 1R29C31[0][B]gw_gao_inst_0/u_icon_top/enable_reg_2_s0/CLKR36C25[2][B]gw_gao_inst_0/u_icon_top/enable_reg_1_s0/CLK
27.168 tC2Q FF 3R29C31[0][B]gw_gao_inst_0/u_icon_top/enable_reg_2_s0/QR36C25[2][B]gw_gao_inst_0/u_icon_top/enable_reg_1_s0/Q
27.6970.52827.3100.142 tNET FF 1R38C30[1][B]gw_gao_inst_0/u_icon_top/n54_s1/I2R36C25[1][B]gw_gao_inst_0/u_icon_top/n54_s0/I1
28.1700.47327.8010.491 tINS FR 5R38C30[1][B]gw_gao_inst_0/u_icon_top/n54_s1/FR36C25[1][B]gw_gao_inst_0/u_icon_top/n54_s0/F
28.79828.429 0.628 tNET RR 1R38C30[0][B]R36C25[0][B] gw_gao_inst_0/u_icon_top/input_shift_reg_3_s0/CE
@@ -7495,7 +8341,7 @@

Path20

tNET RR 1 -R38C30[0][B] +R36C25[0][B] gw_gao_inst_0/u_icon_top/input_shift_reg_3_s0/CLK @@ -7504,7 +8350,7 @@

Path20

tSu 1 -R38C30[0][B] +R36C25[0][B] gw_gao_inst_0/u_icon_top/input_shift_reg_3_s0 @@ -7528,7 +8374,7 @@

Path20

Arrival Data Path Delay -cell: 0.473, 25.868%; route: 1.156, 63.201%; tC2Q: 0.200, 10.931% +cell: 0.491, 33.633%; route: 0.770, 52.677%; tC2Q: 0.200, 13.689% Required Clock Path Delay @@ -7540,11 +8386,11 @@

Path21

- + - + @@ -7552,7 +8398,7 @@

Path21

- + @@ -7638,8 +8484,8 @@

Path21

- - + + @@ -7647,34 +8493,34 @@

Path21

- - + + - - + + - - + + - - + + - - + + - + - +
Slack23.02023.388
Data Arrival Time28.79828.429
Data Required Time
Fromgw_gao_inst_0/u_icon_top/enable_reg_2_s0gw_gao_inst_0/u_icon_top/enable_reg_1_s0
To tNET FF 1R29C31[0][B]gw_gao_inst_0/u_icon_top/enable_reg_2_s0/CLKR36C25[2][B]gw_gao_inst_0/u_icon_top/enable_reg_1_s0/CLK
27.168 tC2Q FF 3R29C31[0][B]gw_gao_inst_0/u_icon_top/enable_reg_2_s0/QR36C25[2][B]gw_gao_inst_0/u_icon_top/enable_reg_1_s0/Q
27.6970.52827.3100.142 tNET FF 1R38C30[1][B]gw_gao_inst_0/u_icon_top/n54_s1/I2R36C25[1][B]gw_gao_inst_0/u_icon_top/n54_s0/I1
28.1700.47327.8010.491 tINS FR 5R38C30[1][B]gw_gao_inst_0/u_icon_top/n54_s1/FR36C25[1][B]gw_gao_inst_0/u_icon_top/n54_s0/F
28.79828.429 0.628 tNET RR 1R38C30[1][A]R36C25[1][A] gw_gao_inst_0/u_icon_top/input_shift_reg_4_s0/CE
@@ -7749,7 +8595,7 @@

Path21

tNET RR 1 -R38C30[1][A] +R36C25[1][A] gw_gao_inst_0/u_icon_top/input_shift_reg_4_s0/CLK @@ -7758,7 +8604,7 @@

Path21

tSu 1 -R38C30[1][A] +R36C25[1][A] gw_gao_inst_0/u_icon_top/input_shift_reg_4_s0 @@ -7782,7 +8628,7 @@

Path21

Arrival Data Path Delay -cell: 0.473, 25.868%; route: 1.156, 63.201%; tC2Q: 0.200, 10.931% +cell: 0.491, 33.633%; route: 0.770, 52.677%; tC2Q: 0.200, 13.689% Required Clock Path Delay @@ -7794,11 +8640,11 @@

Path22

- + - + @@ -7806,11 +8652,11 @@

Path22

- + - + @@ -7892,44 +8738,26 @@

Path22

- - + + - - - + + + - - + + - - - - - - - - - - - - - - - - - - - - + +
Slack23.36423.646
Data Arrival Time28.45428.172
Data Required Time
Fromgw_gao_inst_0/u_icon_top/enable_reg_2_s0gw_gao_inst_0/u_icon_top/tdi_d_s0
Togw_gao_inst_0/u_icon_top/input_shift_reg_0_s0gw_gao_inst_0/u_ao_top/data_register_45_s0
Launch Clk tNET FF 1R29C31[0][B]gw_gao_inst_0/u_icon_top/enable_reg_2_s0/CLKR29C25[0][A]gw_gao_inst_0/u_icon_top/tdi_d_s0/CLK
27.168 0.200 tC2Q FF3R29C31[0][B]gw_gao_inst_0/u_icon_top/enable_reg_2_s0/Q2R29C25[0][A]gw_gao_inst_0/u_icon_top/tdi_d_s0/Q
27.6970.52828.1721.003 tNET FF 1R38C30[1][B]gw_gao_inst_0/u_icon_top/n54_s1/I2
28.1700.473tINSFR5R38C30[1][B]gw_gao_inst_0/u_icon_top/n54_s1/F
28.4540.284tNETRR1R38C29[2][A]gw_gao_inst_0/u_icon_top/input_shift_reg_0_s0/CER41C24[1][B]gw_gao_inst_0/u_ao_top/data_register_45_s0/D

Data Required Path:

@@ -8003,8 +8831,8 @@

Path22

tNET RR 1 -R38C29[2][A] -gw_gao_inst_0/u_icon_top/input_shift_reg_0_s0/CLK +R41C24[1][B] +gw_gao_inst_0/u_ao_top/data_register_45_s0/CLK 51.818 @@ -8012,8 +8840,8 @@

Path22

tSu 1 -R38C29[2][A] -gw_gao_inst_0/u_icon_top/input_shift_reg_0_s0 +R41C24[1][B] +gw_gao_inst_0/u_ao_top/data_register_45_s0

Path Statistics:

@@ -8028,7 +8856,7 @@

Path22

Logic Level -2 +1 Arrival Clock Path Delay @@ -8036,7 +8864,7 @@

Path22

Arrival Data Path Delay -cell: 0.473, 31.862%; route: 0.812, 54.673%; tC2Q: 0.200, 13.465% +cell: 0.000, 0.000%; route: 1.003, 83.377%; tC2Q: 0.200, 16.623% Required Clock Path Delay @@ -8048,11 +8876,11 @@

Path23

- + - + @@ -8060,11 +8888,11 @@

Path23

- + - + @@ -8146,8 +8974,8 @@

Path23

- - + + @@ -8155,35 +8983,35 @@

Path23

- - + + - - + + - - + + - - + + - - + + - + - - + +
Slack23.36423.732
Data Arrival Time28.45428.085
Data Required Time
Fromgw_gao_inst_0/u_icon_top/enable_reg_2_s0gw_gao_inst_0/u_icon_top/enable_reg_1_s0
Togw_gao_inst_0/u_icon_top/input_shift_reg_1_s0gw_gao_inst_0/u_icon_top/input_shift_reg_0_s0
Launch Clk tNET FF 1R29C31[0][B]gw_gao_inst_0/u_icon_top/enable_reg_2_s0/CLKR36C25[2][B]gw_gao_inst_0/u_icon_top/enable_reg_1_s0/CLK
27.168 tC2Q FF 3R29C31[0][B]gw_gao_inst_0/u_icon_top/enable_reg_2_s0/QR36C25[2][B]gw_gao_inst_0/u_icon_top/enable_reg_1_s0/Q
27.6970.52827.3100.142 tNET FF 1R38C30[1][B]gw_gao_inst_0/u_icon_top/n54_s1/I2R36C25[1][B]gw_gao_inst_0/u_icon_top/n54_s0/I1
28.1700.47327.8010.491 tINS FR 5R38C30[1][B]gw_gao_inst_0/u_icon_top/n54_s1/FR36C25[1][B]gw_gao_inst_0/u_icon_top/n54_s0/F
28.45428.085 0.284 tNET RR 1R38C29[2][B]gw_gao_inst_0/u_icon_top/input_shift_reg_1_s0/CER36C24[2][A]gw_gao_inst_0/u_icon_top/input_shift_reg_0_s0/CE

Data Required Path:

@@ -8257,8 +9085,8 @@

Path23

tNET RR 1 -R38C29[2][B] -gw_gao_inst_0/u_icon_top/input_shift_reg_1_s0/CLK +R36C24[2][A] +gw_gao_inst_0/u_icon_top/input_shift_reg_0_s0/CLK 51.818 @@ -8266,8 +9094,8 @@

Path23

tSu 1 -R38C29[2][B] -gw_gao_inst_0/u_icon_top/input_shift_reg_1_s0 +R36C24[2][A] +gw_gao_inst_0/u_icon_top/input_shift_reg_0_s0

Path Statistics:

@@ -8290,7 +9118,7 @@

Path23

Arrival Data Path Delay -cell: 0.473, 31.862%; route: 0.812, 54.673%; tC2Q: 0.200, 13.465% +cell: 0.491, 44.000%; route: 0.425, 38.092%; tC2Q: 0.200, 17.909% Required Clock Path Delay @@ -8302,11 +9130,11 @@

Path24

- + - + @@ -8314,11 +9142,11 @@

Path24

- + - + @@ -8400,26 +9228,44 @@

Path24

- - + + - - - + + + - - + + - - + + + + + + + + + + + + + + + + + + + +
Slack23.52723.732
Data Arrival Time28.29028.085
Data Required Time
Fromgw_gao_inst_0/u_icon_top/tdi_d_s0gw_gao_inst_0/u_icon_top/enable_reg_1_s0
Togw_gao_inst_0/u_ao_top/data_register_45_s0gw_gao_inst_0/u_icon_top/input_shift_reg_1_s0
Launch Clk tNET FF 1R29C31[0][A]gw_gao_inst_0/u_icon_top/tdi_d_s0/CLKR36C25[2][B]gw_gao_inst_0/u_icon_top/enable_reg_1_s0/CLK
27.168 0.200 tC2Q FF2R29C31[0][A]gw_gao_inst_0/u_icon_top/tdi_d_s0/Q3R36C25[2][B]gw_gao_inst_0/u_icon_top/enable_reg_1_s0/Q
28.2901.12227.3100.142 tNET FF 1R40C30[2][A]gw_gao_inst_0/u_ao_top/data_register_45_s0/DR36C25[1][B]gw_gao_inst_0/u_icon_top/n54_s0/I1
27.8010.491tINSFR5R36C25[1][B]gw_gao_inst_0/u_icon_top/n54_s0/F
28.0850.284tNETRR1R36C24[2][B]gw_gao_inst_0/u_icon_top/input_shift_reg_1_s0/CE

Data Required Path:

@@ -8493,8 +9339,8 @@

Path24

tNET RR 1 -R40C30[2][A] -gw_gao_inst_0/u_ao_top/data_register_45_s0/CLK +R36C24[2][B] +gw_gao_inst_0/u_icon_top/input_shift_reg_1_s0/CLK 51.818 @@ -8502,8 +9348,8 @@

Path24

tSu 1 -R40C30[2][A] -gw_gao_inst_0/u_ao_top/data_register_45_s0 +R36C24[2][B] +gw_gao_inst_0/u_icon_top/input_shift_reg_1_s0

Path Statistics:

@@ -8518,7 +9364,7 @@

Path24

Logic Level -1 +2 Arrival Clock Path Delay @@ -8526,7 +9372,7 @@

Path24

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 1.122, 84.872%; tC2Q: 0.200, 15.128% +cell: 0.491, 44.000%; route: 0.425, 38.092%; tC2Q: 0.200, 17.909% Required Clock Path Delay @@ -8538,11 +9384,11 @@

Path25

- + - + @@ -8636,7 +9482,7 @@

Path25

- + @@ -8645,16 +9491,16 @@

Path25

- + - - + + - +
Slack23.73623.946
Data Arrival Time28.08127.872
Data Required Time tNET FF 1R29C31[0][A]R29C25[0][A] gw_gao_inst_0/u_icon_top/tdi_d_s0/CLK
tC2Q FF 2R29C31[0][A]R29C25[0][A] gw_gao_inst_0/u_icon_top/tdi_d_s0/Q
28.0810.91327.8720.703 tNET FF 1R38C30[1][A]R36C25[1][A] gw_gao_inst_0/u_icon_top/input_shift_reg_4_s0/D
@@ -8729,7 +9575,7 @@

Path25

tNET RR 1 -R38C30[1][A] +R36C25[1][A] gw_gao_inst_0/u_icon_top/input_shift_reg_4_s0/CLK @@ -8738,7 +9584,7 @@

Path25

tSu 1 -R38C30[1][A] +R36C25[1][A] gw_gao_inst_0/u_icon_top/input_shift_reg_4_s0 @@ -8762,7 +9608,7 @@

Path25

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.913, 82.027%; tC2Q: 0.200, 17.973% +cell: 0.000, 0.000%; route: 0.703, 77.865%; tC2Q: 0.200, 22.135% Required Clock Path Delay @@ -8776,11 +9622,11 @@

Path1

- + - + @@ -8846,7 +9692,7 @@

Path1

- + @@ -8856,43 +9702,43 @@

Path1

- + - - + + - + - + - + - + - + - - + + - + - + - + - +
Slack-0.423-0.473
Data Arrival Time201.271201.221
Data Required Time 0.581 tINS RR207277 IOL29[A] clk_ibuf/O
tNET RR 1R43C26[2][A]R44C20[2][A] gw_gao_inst_0/u_ao_top/capture_start_sel_s1/CLK
200.9140.174200.9130.173 tC2QRRRF 3R43C26[2][A]R44C20[2][A] gw_gao_inst_0/u_ao_top/capture_start_sel_s1/Q
201.0210.1070.108 tNETRRFF 1R41C26[1][A]R44C21[0][A] gw_gao_inst_0/u_ao_top/n836_s1/I1
201.2710.250201.2210.200 tINSRFFF 1R41C26[1][A]R44C21[0][A] gw_gao_inst_0/u_ao_top/n836_s1/F
201.271201.221 0.000 tNET FF 1R41C26[1][A]R44C21[0][A] gw_gao_inst_0/u_ao_top/capture_end_tck_0_s0/D
@@ -8967,7 +9813,7 @@

Path1

tNET RR 1 -R41C26[1][A] +R44C21[0][A] gw_gao_inst_0/u_ao_top/capture_end_tck_0_s0/CLK @@ -8985,7 +9831,7 @@

Path1

tHld 1 -R41C26[1][A] +R44C21[0][A] gw_gao_inst_0/u_ao_top/capture_end_tck_0_s0 @@ -9009,7 +9855,7 @@

Path1

Arrival Data Path Delay -cell: 0.250, 47.044%; route: 0.107, 20.187%; tC2Q: 0.174, 32.769% +cell: 0.200, 41.564%; route: 0.108, 22.426%; tC2Q: 0.173, 36.010% Required Clock Path Delay @@ -9021,11 +9867,11 @@

Path2

- + - + @@ -9033,11 +9879,11 @@

Path2

- + - + @@ -9091,7 +9937,7 @@

Path2

- + @@ -9101,26 +9947,26 @@

Path2

- - + + - - - + + + - - + + - - + +
Slack0.3140.202
Data Arrival Time1.2681.156
Data Required Time
Froms480p/de_s0arkanoid/red_7_s0
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_12_sgw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_11_s
Launch Clk 0.581 tINS RR207277 IOL29[A] clk_ibuf/O
tNET RR 1R41C33[0][A]s480p/de_s0/CLKR42C30[0][A]arkanoid/red_7_s0/CLK
0.914 0.174 tC2Q RR2R41C33[0][A]s480p/de_s0/Q32R42C30[0][A]arkanoid/red_7_s0/Q
1.2680.3541.1560.242 tNET RR 1BSRAM_R46[10]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_12_s/DI[0]BSRAM_R46[9]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_11_s/DI[1]

Data Required Path:

@@ -9166,7 +10012,7 @@

Path2

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -9176,8 +10022,8 @@

Path2

tNET RR 1 -BSRAM_R46[10] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_12_s/CLKA +BSRAM_R46[9] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_11_s/CLKA 0.954 @@ -9185,8 +10031,8 @@

Path2

tHld 1 -BSRAM_R46[10] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_12_s +BSRAM_R46[9] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_11_s

Path Statistics:

@@ -9209,7 +10055,7 @@

Path2

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.354, 67.040%; tC2Q: 0.174, 32.960% +cell: 0.000, 0.000%; route: 0.242, 58.196%; tC2Q: 0.174, 41.804% Required Clock Path Delay @@ -9221,11 +10067,11 @@

Path3

- + - + @@ -9291,7 +10137,7 @@

Path3

- + @@ -9301,7 +10147,7 @@

Path3

- + @@ -9310,17 +10156,17 @@

Path3

- + - - + + - +
Slack0.3190.202
Data Arrival Time1.2731.156
Data Required Time 0.581 tINS RR207277 IOL29[A] clk_ibuf/O
tNET RR 1R39C34[2][A]R42C30[0][A] arkanoid/red_7_s0/CLK
tC2Q RR 32R39C34[2][A]R42C30[0][A] arkanoid/red_7_s0/Q
1.2730.3591.1560.242 tNET RR 1 BSRAM_R46[9]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_11_s/DI[1]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_11_s/DI[0]

Data Required Path:

@@ -9366,7 +10212,7 @@

Path3

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -9409,7 +10255,7 @@

Path3

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.359, 67.360%; tC2Q: 0.174, 32.640% +cell: 0.000, 0.000%; route: 0.242, 58.196%; tC2Q: 0.174, 41.804% Required Clock Path Delay @@ -9421,11 +10267,11 @@

Path4

- + - + @@ -9437,7 +10283,7 @@

Path4

- + @@ -9491,7 +10337,7 @@

Path4

- + @@ -9501,7 +10347,7 @@

Path4

- + @@ -9510,17 +10356,17 @@

Path4

- + - - + + - - + +
Slack0.3190.202
Data Arrival Time1.2731.156
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_11_sgw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_10_s
Launch Clk 0.581 tINS RR207277 IOL29[A] clk_ibuf/O
tNET RR 1R39C34[2][A]R42C30[0][A] arkanoid/red_7_s0/CLK
tC2Q RR 32R39C34[2][A]R42C30[0][A] arkanoid/red_7_s0/Q
1.2730.3591.1560.242 tNET RR 1BSRAM_R46[9]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_11_s/DI[0]BSRAM_R46[8]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_10_s/DI[1]

Data Required Path:

@@ -9566,7 +10412,7 @@

Path4

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -9576,8 +10422,8 @@

Path4

tNET RR 1 -BSRAM_R46[9] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_11_s/CLKA +BSRAM_R46[8] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_10_s/CLKA 0.954 @@ -9585,8 +10431,8 @@

Path4

tHld 1 -BSRAM_R46[9] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_11_s +BSRAM_R46[8] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_10_s

Path Statistics:

@@ -9609,7 +10455,7 @@

Path4

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.359, 67.360%; tC2Q: 0.174, 32.640% +cell: 0.000, 0.000%; route: 0.242, 58.196%; tC2Q: 0.174, 41.804% Required Clock Path Delay @@ -9621,23 +10467,23 @@

Path5

- + - + - + - + - + @@ -9691,7 +10537,7 @@

Path5

- + @@ -9701,44 +10547,26 @@

Path5

- - + + - - - + + + - - + + - - - - - - - - - - - - - - - - - - - - + +
Slack0.3670.202
Data Arrival Time1.1161.156
Data Required Time0.7490.954
Froms480p/y_6_s0arkanoid/red_7_s0
Tos480p/y_6_s0gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_10_s
Launch Clk 0.581 tINS RR207277 IOL29[A] clk_ibuf/O
tNET RR 1R39C33[0][A]s480p/y_6_s0/CLKR42C30[0][A]arkanoid/red_7_s0/CLK
0.914 0.174 tC2Q RR3R39C33[0][A]s480p/y_6_s0/Q32R42C30[0][A]arkanoid/red_7_s0/Q
0.9160.0021.1560.242 tNET RR2R39C33[0][A]s480p/n109_s/I1
1.1160.200tINSRF1R39C33[0][A]s480p/n109_s/SUM
1.1160.000tNETFF 1R39C33[0][A]s480p/y_6_s0/DBSRAM_R46[8]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_10_s/DI[0]

Data Required Path:

@@ -9784,7 +10612,7 @@

Path5

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -9794,17 +10622,17 @@

Path5

tNET RR 1 -R39C33[0][A] -s480p/y_6_s0/CLK +BSRAM_R46[8] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_10_s/CLKA -0.749 -0.009 +0.954 +0.215 tHld 1 -R39C33[0][A] -s480p/y_6_s0 +BSRAM_R46[8] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_10_s

Path Statistics:

@@ -9819,7 +10647,7 @@

Path5

Logic Level -2 +1 Arrival Clock Path Delay @@ -9827,7 +10655,7 @@

Path5

Arrival Data Path Delay -cell: 0.200, 53.157%; route: 0.002, 0.560%; tC2Q: 0.174, 46.283% +cell: 0.000, 0.000%; route: 0.242, 58.196%; tC2Q: 0.174, 41.804% Required Clock Path Delay @@ -9839,31 +10667,31 @@

Path6

- + - + - + - + - + - + - +
Slack0.3670.291
Data Arrival Time1.1162.042
Data Required Time0.7491.751
Froms480p/y_12_s0gw_gao_inst_0/u_ao_top/address_counter_11_s0
Tos480p/y_12_s0gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_6_s
Launch Clkclk:[R]tck_pad_i:[R]
Latch Clkclk:[R]tck_pad_i:[R]

Data Arrival Path:

@@ -9893,7 +10721,7 @@

Path6

-clk +tck_pad_i 0.000 @@ -9901,62 +10729,62 @@

Path6

tCL RR 1 -IOL29[A] -clk_ibuf/I +IOR26[A] +gw_gao_inst_0/tck_ibuf/I 0.581 0.581 tINS RR -207 -IOL29[A] -clk_ibuf/O +1 +IOR26[A] +gw_gao_inst_0/tck_ibuf/O -0.740 -0.159 +0.581 +0.000 tNET RR 1 -R39C34[0][A] -s480p/y_12_s0/CLK +- +gw_gao_inst_0/u_gw_jtag/tck_pad_i -0.914 -0.174 -tC2Q +1.162 +0.581 +tINS RR -3 -R39C34[0][A] -s480p/y_12_s0/Q +181 +- +gw_gao_inst_0/u_gw_jtag/tck_o -0.916 -0.002 -tNET +1.649 +0.487 +tNET RR -2 -R39C34[0][A] -s480p/n103_s/I1 +1 +R42C21[2][A] +gw_gao_inst_0/u_ao_top/address_counter_11_s0/CLK -1.116 -0.200 -tINS -RF -1 -R39C34[0][A] -s480p/n103_s/SUM +1.823 +0.174 +tC2Q +RR +15 +R42C21[2][A] +gw_gao_inst_0/u_ao_top/address_counter_11_s0/Q -1.116 -0.000 +2.042 +0.219 tNET -FF +RR 1 -R39C34[0][A] -s480p/y_12_s0/D +BSRAM_R46[5] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_6_s/ADB[12]

Data Required Path:

@@ -9986,7 +10814,7 @@

Path6

-clk +tck_pad_i 0.000 @@ -9994,35 +10822,53 @@

Path6

tCL RR 1 -IOL29[A] -clk_ibuf/I +IOR26[A] +gw_gao_inst_0/tck_ibuf/I 0.581 0.581 tINS RR -207 -IOL29[A] -clk_ibuf/O +1 +IOR26[A] +gw_gao_inst_0/tck_ibuf/O -0.740 -0.159 +0.581 +0.000 tNET RR 1 -R39C34[0][A] -s480p/y_12_s0/CLK +- +gw_gao_inst_0/u_gw_jtag/tck_pad_i -0.749 -0.009 +1.162 +0.581 +tINS +RR +181 +- +gw_gao_inst_0/u_gw_jtag/tck_o + + +1.649 +0.487 +tNET +RR +1 +BSRAM_R46[5] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_6_s/CLKB + + +1.751 +0.102 tHld 1 -R39C34[0][A] -s480p/y_12_s0 +BSRAM_R46[5] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_6_s

Path Statistics:

@@ -10037,19 +10883,19 @@

Path6

Logic Level -2 +1 Arrival Clock Path Delay -cell: 0.581, 78.528%; route: 0.159, 21.472% +cell: 1.162, 70.449%; route: 0.487, 29.551% Arrival Data Path Delay -cell: 0.200, 53.157%; route: 0.002, 0.560%; tC2Q: 0.174, 46.283% +cell: 0.000, 0.000%; route: 0.219, 55.680%; tC2Q: 0.174, 44.320% Required Clock Path Delay -cell: 0.581, 78.528%; route: 0.159, 21.472% +cell: 1.162, 70.449%; route: 0.487, 29.551%

Path7

@@ -10057,23 +10903,23 @@

Path7

- + - + - + - + - + @@ -10127,7 +10973,7 @@

Path7

- + @@ -10137,44 +10983,26 @@

Path7

- - + + - - - + + + - - + + - - - - - - - - - - - - - - - - - - - - + +
Slack0.3670.307
Data Arrival Time1.1161.261
Data Required Time0.7490.954
Froms480p/y_14_s0arkanoid/red_7_s0
Tos480p/y_14_s0gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_9_s
Launch Clk 0.581 tINS RR207277 IOL29[A] clk_ibuf/O
tNET RR 1R39C34[1][A]s480p/y_14_s0/CLKR42C30[0][A]arkanoid/red_7_s0/CLK
0.914 0.174 tC2Q RR3R39C34[1][A]s480p/y_14_s0/Q32R42C30[0][A]arkanoid/red_7_s0/Q
0.9160.0021.2610.348 tNET RR2R39C34[1][A]s480p/n101_s/I1
1.1160.200tINSRF1R39C34[1][A]s480p/n101_s/SUM
1.1160.000tNETFF 1R39C34[1][A]s480p/y_14_s0/DBSRAM_R46[7]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_9_s/DI[1]

Data Required Path:

@@ -10220,7 +11048,7 @@

Path7

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -10230,17 +11058,17 @@

Path7

tNET RR 1 -R39C34[1][A] -s480p/y_14_s0/CLK +BSRAM_R46[7] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_9_s/CLKA -0.749 -0.009 +0.954 +0.215 tHld 1 -R39C34[1][A] -s480p/y_14_s0 +BSRAM_R46[7] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_9_s

Path Statistics:

@@ -10255,7 +11083,7 @@

Path7

Logic Level -2 +1 Arrival Clock Path Delay @@ -10263,7 +11091,7 @@

Path7

Arrival Data Path Delay -cell: 0.200, 53.157%; route: 0.002, 0.560%; tC2Q: 0.174, 46.283% +cell: 0.000, 0.000%; route: 0.348, 66.623%; tC2Q: 0.174, 33.377% Required Clock Path Delay @@ -10275,23 +11103,23 @@

Path8

- + - + - + - + - + @@ -10345,7 +11173,7 @@

Path8

- + @@ -10355,44 +11183,26 @@

Path8

- - + + - - - + + + - - + + - - - - - - - - - - - - - - - - - - - - + +
Slack0.3670.307
Data Arrival Time1.1161.261
Data Required Time0.7490.954
Froms480p/x_12_s0arkanoid/red_7_s0
Tos480p/x_12_s0gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_9_s
Launch Clk 0.581 tINS RR207277 IOL29[A] clk_ibuf/O
tNET RR 1R38C34[0][A]s480p/x_12_s0/CLKR42C30[0][A]arkanoid/red_7_s0/CLK
0.914 0.174 tC2Q RR3R38C34[0][A]s480p/x_12_s0/Q32R42C30[0][A]arkanoid/red_7_s0/Q
0.9160.0021.2610.348 tNET RR2R38C34[0][A]s480p/n168_s/I1
1.1160.200tINSRF1R38C34[0][A]s480p/n168_s/SUM
1.1160.000tNETFF 1R38C34[0][A]s480p/x_12_s0/DBSRAM_R46[7]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_9_s/DI[0]

Data Required Path:

@@ -10438,7 +11248,7 @@

Path8

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -10448,17 +11258,17 @@

Path8

tNET RR 1 -R38C34[0][A] -s480p/x_12_s0/CLK +BSRAM_R46[7] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_9_s/CLKA -0.749 -0.009 +0.954 +0.215 tHld 1 -R38C34[0][A] -s480p/x_12_s0 +BSRAM_R46[7] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_9_s

Path Statistics:

@@ -10473,7 +11283,7 @@

Path8

Logic Level -2 +1 Arrival Clock Path Delay @@ -10481,7 +11291,7 @@

Path8

Arrival Data Path Delay -cell: 0.200, 53.157%; route: 0.002, 0.560%; tC2Q: 0.174, 46.283% +cell: 0.000, 0.000%; route: 0.348, 66.623%; tC2Q: 0.174, 33.377% Required Clock Path Delay @@ -10493,31 +11303,31 @@

Path9

- + - + - + - + - + - + - +
Slack0.3670.315
Data Arrival Time2.0251.156
Data Required Time1.6590.841
Fromgw_gao_inst_0/u_ao_top/word_count_3_s0gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_5_s1
Togw_gao_inst_0/u_ao_top/word_count_3_s0gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_6_s
Launch Clktck_pad_i:[R]clk:[R]
Latch Clktck_pad_i:[R]clk:[R]

Data Arrival Path:

@@ -10547,7 +11357,7 @@

Path9

-tck_pad_i +clk 0.000 @@ -10555,80 +11365,44 @@

Path9

tCL RR 1 -IOR26[A] -gw_gao_inst_0/tck_ibuf/I - - -0.581 -0.581 -tINS -RR -1 -IOR26[A] -gw_gao_inst_0/tck_ibuf/O +IOL29[A] +clk_ibuf/I 0.581 -0.000 -tNET -RR -1 -- -gw_gao_inst_0/u_gw_jtag/tck_pad_i - - -1.162 0.581 tINS RR -181 -- -gw_gao_inst_0/u_gw_jtag/tck_o +277 +IOL29[A] +clk_ibuf/O -1.649 -0.487 +0.740 +0.159 tNET RR 1 -R41C31[0][A] -gw_gao_inst_0/u_ao_top/word_count_3_s0/CLK +R40C20[2][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_5_s1/CLK -1.823 +0.914 0.174 tC2Q RR -3 -R41C31[0][A] -gw_gao_inst_0/u_ao_top/word_count_3_s0/Q +16 +R40C20[2][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_5_s1/Q -1.825 -0.002 +1.156 +0.242 tNET RR 1 -R41C31[0][A] -gw_gao_inst_0/u_ao_top/data_to_word_counter_3_s2/I2 - - -2.025 -0.200 -tINS -RF -1 -R41C31[0][A] -gw_gao_inst_0/u_ao_top/data_to_word_counter_3_s2/F - - -2.025 -0.000 -tNET -FF -1 -R41C31[0][A] -gw_gao_inst_0/u_ao_top/word_count_3_s0/D +BSRAM_R46[5] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_6_s/ADA[6]

Data Required Path:

@@ -10658,7 +11432,7 @@

Path9

-tck_pad_i +clk 0.000 @@ -10666,53 +11440,35 @@

Path9

tCL RR 1 -IOR26[A] -gw_gao_inst_0/tck_ibuf/I - - -0.581 -0.581 -tINS -RR -1 -IOR26[A] -gw_gao_inst_0/tck_ibuf/O +IOL29[A] +clk_ibuf/I 0.581 -0.000 -tNET -RR -1 -- -gw_gao_inst_0/u_gw_jtag/tck_pad_i - - -1.162 0.581 tINS RR -181 -- -gw_gao_inst_0/u_gw_jtag/tck_o +277 +IOL29[A] +clk_ibuf/O -1.649 -0.487 +0.740 +0.159 tNET RR 1 -R41C31[0][A] -gw_gao_inst_0/u_ao_top/word_count_3_s0/CLK +BSRAM_R46[5] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_6_s/CLKA -1.659 -0.009 +0.841 +0.102 tHld 1 -R41C31[0][A] -gw_gao_inst_0/u_ao_top/word_count_3_s0 +BSRAM_R46[5] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_6_s

Path Statistics:

@@ -10727,19 +11483,19 @@

Path9

Logic Level -2 +1 Arrival Clock Path Delay -cell: 1.162, 70.449%; route: 0.487, 29.551% +cell: 0.581, 78.528%; route: 0.159, 21.472% Arrival Data Path Delay -cell: 0.200, 53.157%; route: 0.002, 0.560%; tC2Q: 0.174, 46.283% +cell: 0.000, 0.000%; route: 0.242, 58.181%; tC2Q: 0.174, 41.819% Required Clock Path Delay -cell: 1.162, 70.449%; route: 0.487, 29.551% +cell: 0.581, 78.528%; route: 0.159, 21.472%

Path10

@@ -10747,23 +11503,23 @@

Path10

- + - + - + - + - + @@ -10845,44 +11601,26 @@

Path10

- - + + - - - + + + - - + + - - - - - - - - - - - - - - - - - - - - + +
Slack0.3670.317
Data Arrival Time2.0252.068
Data Required Time1.6591.751
Fromgw_gao_inst_0/u_ao_top/word_count_6_s0gw_gao_inst_0/u_ao_top/address_counter_7_s0
Togw_gao_inst_0/u_ao_top/word_count_6_s0gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_8_s
Launch Clk tNET RR 1R44C29[0][A]gw_gao_inst_0/u_ao_top/word_count_6_s0/CLKR42C21[2][B]gw_gao_inst_0/u_ao_top/address_counter_7_s0/CLK
1.823 0.174 tC2Q RR3R44C29[0][A]gw_gao_inst_0/u_ao_top/word_count_6_s0/Q17R42C21[2][B]gw_gao_inst_0/u_ao_top/address_counter_7_s0/Q
1.8250.0022.0680.245 tNET RR 1R44C29[0][A]gw_gao_inst_0/u_ao_top/data_to_word_counter_6_s2/I2
2.0250.200tINSRF1R44C29[0][A]gw_gao_inst_0/u_ao_top/data_to_word_counter_6_s2/F
2.0250.000tNETFF1R44C29[0][A]gw_gao_inst_0/u_ao_top/word_count_6_s0/DBSRAM_R46[6]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_8_s/ADB[8]

Data Required Path:

@@ -10956,17 +11694,17 @@

Path10

tNET RR 1 -R44C29[0][A] -gw_gao_inst_0/u_ao_top/word_count_6_s0/CLK +BSRAM_R46[6] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_8_s/CLKB -1.659 -0.009 +1.751 +0.102 tHld 1 -R44C29[0][A] -gw_gao_inst_0/u_ao_top/word_count_6_s0 +BSRAM_R46[6] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_8_s

Path Statistics:

@@ -10981,7 +11719,7 @@

Path10

Logic Level -2 +1 Arrival Clock Path Delay @@ -10989,7 +11727,7 @@

Path10

Arrival Data Path Delay -cell: 0.200, 53.157%; route: 0.002, 0.560%; tC2Q: 0.174, 46.283% +cell: 0.000, 0.000%; route: 0.245, 58.463%; tC2Q: 0.174, 41.537% Required Clock Path Delay @@ -11001,23 +11739,23 @@

Path11

- + - + - + - + - + @@ -11099,44 +11837,26 @@

Path11

- - + + - - - + + + - - + + - - - - - - - - - - - - - - - - - - - - + +
Slack0.3670.317
Data Arrival Time2.0252.068
Data Required Time1.6591.751
Fromgw_gao_inst_0/u_ao_top/word_count_15_s0gw_gao_inst_0/u_ao_top/address_counter_7_s0
Togw_gao_inst_0/u_ao_top/word_count_15_s0gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_6_s
Launch Clk tNET RR 1R43C31[0][A]gw_gao_inst_0/u_ao_top/word_count_15_s0/CLKR42C21[2][B]gw_gao_inst_0/u_ao_top/address_counter_7_s0/CLK
1.823 0.174 tC2Q RR3R43C31[0][A]gw_gao_inst_0/u_ao_top/word_count_15_s0/Q17R42C21[2][B]gw_gao_inst_0/u_ao_top/address_counter_7_s0/Q
1.8250.0022.0680.245 tNET RR 1R43C31[0][A]gw_gao_inst_0/u_ao_top/data_to_word_counter_15_s2/I3
2.0250.200tINSRF1R43C31[0][A]gw_gao_inst_0/u_ao_top/data_to_word_counter_15_s2/F
2.0250.000tNETFF1R43C31[0][A]gw_gao_inst_0/u_ao_top/word_count_15_s0/DBSRAM_R46[5]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_6_s/ADB[8]

Data Required Path:

@@ -11210,17 +11930,17 @@

Path11

tNET RR 1 -R43C31[0][A] -gw_gao_inst_0/u_ao_top/word_count_15_s0/CLK +BSRAM_R46[5] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_6_s/CLKB -1.659 -0.009 +1.751 +0.102 tHld 1 -R43C31[0][A] -gw_gao_inst_0/u_ao_top/word_count_15_s0 +BSRAM_R46[5] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_6_s

Path Statistics:

@@ -11235,7 +11955,7 @@

Path11

Logic Level -2 +1 Arrival Clock Path Delay @@ -11243,7 +11963,7 @@

Path11

Arrival Data Path Delay -cell: 0.200, 53.157%; route: 0.002, 0.560%; tC2Q: 0.174, 46.283% +cell: 0.000, 0.000%; route: 0.245, 58.463%; tC2Q: 0.174, 41.537% Required Clock Path Delay @@ -11255,23 +11975,23 @@

Path12

- + - + - + - + - + @@ -11325,7 +12045,7 @@

Path12

- + @@ -11335,44 +12055,26 @@

Path12

- - + + - - - + + + - - + + - - - - - - - - - - - - - - - - - - - - + +
Slack0.3680.342
Data Arrival Time1.1171.296
Data Required Time0.7490.954
Froms480p/y_0_s0arkanoid/red_7_s0
Tos480p/y_0_s0gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_8_s
Launch Clk 0.581 tINS RR207277 IOL29[A] clk_ibuf/O
tNET RR 1R39C31[1][A]s480p/y_0_s0/CLKR42C30[0][A]arkanoid/red_7_s0/CLK
0.914 0.174 tC2Q RR5R39C31[1][A]s480p/y_0_s0/Q32R42C30[0][A]arkanoid/red_7_s0/Q
0.9170.0031.2960.383 tNET RR 1R39C31[1][A]s480p/n115_s2/I0
1.1170.200tINSRF1R39C31[1][A]s480p/n115_s2/F
1.1170.000tNETFF1R39C31[1][A]s480p/y_0_s0/DBSRAM_R46[6]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_8_s/DI[1]

Data Required Path:

@@ -11418,7 +12120,7 @@

Path12

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -11428,17 +12130,17 @@

Path12

tNET RR 1 -R39C31[1][A] -s480p/y_0_s0/CLK +BSRAM_R46[6] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_8_s/CLKA -0.749 -0.009 +0.954 +0.215 tHld 1 -R39C31[1][A] -s480p/y_0_s0 +BSRAM_R46[6] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_8_s

Path Statistics:

@@ -11453,7 +12155,7 @@

Path12

Logic Level -2 +1 Arrival Clock Path Delay @@ -11461,7 +12163,7 @@

Path12

Arrival Data Path Delay -cell: 0.200, 53.008%; route: 0.003, 0.838%; tC2Q: 0.174, 46.154% +cell: 0.000, 0.000%; route: 0.383, 68.721%; tC2Q: 0.174, 31.279% Required Clock Path Delay @@ -11473,23 +12175,23 @@

Path13

- + - + - + - + - + @@ -11543,7 +12245,7 @@

Path13

- + @@ -11553,44 +12255,26 @@

Path13

- - + + - - - + + + - - + + - - - - - - - - - - - - - - - - - - - - + +
Slack0.3680.342
Data Arrival Time1.1171.296
Data Required Time0.7490.954
Froms480p/y_2_s0arkanoid/red_7_s0
Tos480p/y_2_s0gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_8_s
Launch Clk 0.581 tINS RR207277 IOL29[A] clk_ibuf/O
tNET RR 1R39C32[1][A]s480p/y_2_s0/CLKR42C30[0][A]arkanoid/red_7_s0/CLK
0.914 0.174 tC2Q RR5R39C32[1][A]s480p/y_2_s0/Q32R42C30[0][A]arkanoid/red_7_s0/Q
0.9170.0031.2960.383 tNET RR2R39C32[1][A]s480p/n113_s/I1
1.1170.200tINSRF1R39C32[1][A]s480p/n113_s/SUM
1.1170.000tNETFF 1R39C32[1][A]s480p/y_2_s0/DBSRAM_R46[6]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_8_s/DI[0]

Data Required Path:

@@ -11636,7 +12320,7 @@

Path13

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -11646,17 +12330,17 @@

Path13

tNET RR 1 -R39C32[1][A] -s480p/y_2_s0/CLK +BSRAM_R46[6] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_8_s/CLKA -0.749 -0.009 +0.954 +0.215 tHld 1 -R39C32[1][A] -s480p/y_2_s0 +BSRAM_R46[6] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_mem_0_8_s

Path Statistics:

@@ -11671,7 +12355,7 @@

Path13

Logic Level -2 +1 Arrival Clock Path Delay @@ -11679,7 +12363,7 @@

Path13

Arrival Data Path Delay -cell: 0.200, 53.008%; route: 0.003, 0.838%; tC2Q: 0.174, 46.154% +cell: 0.000, 0.000%; route: 0.383, 68.721%; tC2Q: 0.174, 31.279% Required Clock Path Delay @@ -11691,11 +12375,11 @@

Path14

- + - + @@ -11761,7 +12445,7 @@

Path14

- + @@ -11771,7 +12455,7 @@

Path14

- + @@ -11779,35 +12463,35 @@

Path14

- - + + - - + + - + - + - + - + - +
Slack0.3680.367
Data Arrival Time1.1171.116
Data Required Time 0.581 tINS RR207277 IOL29[A] clk_ibuf/O
tNET RR 1R39C33[1][A]R36C28[1][A] s480p/y_8_s0/CLK
0.174 tC2Q RR4R39C33[1][A]3R36C28[1][A] s480p/y_8_s0/Q
0.9170.0030.9160.002 tNET RR 2R39C33[1][A]R36C28[1][A] s480p/n107_s/I1
1.1171.116 0.200 tINS RF 1R39C33[1][A]R36C28[1][A] s480p/n107_s/SUM
1.1171.116 0.000 tNET FF 1R39C33[1][A]R36C28[1][A] s480p/y_8_s0/D
@@ -11854,7 +12538,7 @@

Path14

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -11864,7 +12548,7 @@

Path14

tNET RR 1 -R39C33[1][A] +R36C28[1][A] s480p/y_8_s0/CLK @@ -11873,7 +12557,7 @@

Path14

tHld 1 -R39C33[1][A] +R36C28[1][A] s480p/y_8_s0 @@ -11897,7 +12581,7 @@

Path14

Arrival Data Path Delay -cell: 0.200, 53.008%; route: 0.003, 0.838%; tC2Q: 0.174, 46.154% +cell: 0.200, 53.157%; route: 0.002, 0.560%; tC2Q: 0.174, 46.283% Required Clock Path Delay @@ -11909,11 +12593,11 @@

Path15

- + - + @@ -11921,11 +12605,11 @@

Path15

- + - + @@ -11979,7 +12663,7 @@

Path15

- + @@ -11989,44 +12673,44 @@

Path15

- - + + - - - + + + - - + + - - + + - + - - + + - + - - + +
Slack0.3680.367
Data Arrival Time1.1171.116
Data Required Time
Froms480p/x_2_s0s480p/y_12_s0
Tos480p/x_2_s0s480p/y_12_s0
Launch Clk 0.581 tINS RR207277 IOL29[A] clk_ibuf/O
tNET RR 1R38C32[1][A]s480p/x_2_s0/CLKR36C29[0][A]s480p/y_12_s0/CLK
0.914 0.174 tC2Q RR4R38C32[1][A]s480p/x_2_s0/Q3R36C29[0][A]s480p/y_12_s0/Q
0.9170.0030.9160.002 tNET RR 2R38C32[1][A]s480p/n178_s/I1R36C29[0][A]s480p/n103_s/I1
1.1171.116 0.200 tINS RF 1R38C32[1][A]s480p/n178_s/SUMR36C29[0][A]s480p/n103_s/SUM
1.1171.116 0.000 tNET FF 1R38C32[1][A]s480p/x_2_s0/DR36C29[0][A]s480p/y_12_s0/D

Data Required Path:

@@ -12072,7 +12756,7 @@

Path15

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -12082,8 +12766,8 @@

Path15

tNET RR 1 -R38C32[1][A] -s480p/x_2_s0/CLK +R36C29[0][A] +s480p/y_12_s0/CLK 0.749 @@ -12091,8 +12775,8 @@

Path15

tHld 1 -R38C32[1][A] -s480p/x_2_s0 +R36C29[0][A] +s480p/y_12_s0

Path Statistics:

@@ -12115,7 +12799,7 @@

Path15

Arrival Data Path Delay -cell: 0.200, 53.008%; route: 0.003, 0.838%; tC2Q: 0.174, 46.154% +cell: 0.200, 53.157%; route: 0.002, 0.560%; tC2Q: 0.174, 46.283% Required Clock Path Delay @@ -12127,11 +12811,11 @@

Path16

- + - + @@ -12139,11 +12823,11 @@

Path16

- + - + @@ -12197,7 +12881,7 @@

Path16

- + @@ -12207,44 +12891,44 @@

Path16

- - + + - - - + + + - - + + - - + + - + - - + + - + - - + +
Slack0.3680.367
Data Arrival Time1.1171.116
Data Required Time
Froms480p/x_6_s0s480p/y_14_s0
Tos480p/x_6_s0s480p/y_14_s0
Launch Clk 0.581 tINS RR207277 IOL29[A] clk_ibuf/O
tNET RR 1R38C33[0][A]s480p/x_6_s0/CLKR36C29[1][A]s480p/y_14_s0/CLK
0.914 0.174 tC2Q RR5R38C33[0][A]s480p/x_6_s0/Q3R36C29[1][A]s480p/y_14_s0/Q
0.9170.0030.9160.002 tNET RR 2R38C33[0][A]s480p/n174_s/I1R36C29[1][A]s480p/n101_s/I1
1.1171.116 0.200 tINS RF 1R38C33[0][A]s480p/n174_s/SUMR36C29[1][A]s480p/n101_s/SUM
1.1171.116 0.000 tNET FF 1R38C33[0][A]s480p/x_6_s0/DR36C29[1][A]s480p/y_14_s0/D

Data Required Path:

@@ -12290,7 +12974,7 @@

Path16

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -12300,8 +12984,8 @@

Path16

tNET RR 1 -R38C33[0][A] -s480p/x_6_s0/CLK +R36C29[1][A] +s480p/y_14_s0/CLK 0.749 @@ -12309,8 +12993,8 @@

Path16

tHld 1 -R38C33[0][A] -s480p/x_6_s0 +R36C29[1][A] +s480p/y_14_s0

Path Statistics:

@@ -12333,7 +13017,7 @@

Path16

Arrival Data Path Delay -cell: 0.200, 53.008%; route: 0.003, 0.838%; tC2Q: 0.174, 46.154% +cell: 0.200, 53.157%; route: 0.002, 0.560%; tC2Q: 0.174, 46.283% Required Clock Path Delay @@ -12345,11 +13029,11 @@

Path17

- + - + @@ -12357,11 +13041,11 @@

Path17

- + - + @@ -12415,7 +13099,7 @@

Path17

- + @@ -12425,44 +13109,44 @@

Path17

- - + + - - - + + + - - + + - - + + - + - - + + - + - - + +
Slack0.3680.367
Data Arrival Time1.1171.116
Data Required Time
Froms480p/x_8_s0s480p/x_14_s0
Tos480p/x_8_s0s480p/x_14_s0
Launch Clk 0.581 tINS RR207277 IOL29[A] clk_ibuf/O
tNET RR 1R38C33[1][A]s480p/x_8_s0/CLKR41C29[1][A]s480p/x_14_s0/CLK
0.914 0.174 tC2Q RR4R38C33[1][A]s480p/x_8_s0/Q3R41C29[1][A]s480p/x_14_s0/Q
0.9170.0030.9160.002 tNET RR 2R38C33[1][A]s480p/n172_s/I1R41C29[1][A]s480p/n166_s/I1
1.1171.116 0.200 tINS RF 1R38C33[1][A]s480p/n172_s/SUMR41C29[1][A]s480p/n166_s/SUM
1.1171.116 0.000 tNET FF 1R38C33[1][A]s480p/x_8_s0/DR41C29[1][A]s480p/x_14_s0/D

Data Required Path:

@@ -12508,7 +13192,7 @@

Path17

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -12518,8 +13202,8 @@

Path17

tNET RR 1 -R38C33[1][A] -s480p/x_8_s0/CLK +R41C29[1][A] +s480p/x_14_s0/CLK 0.749 @@ -12527,8 +13211,8 @@

Path17

tHld 1 -R38C33[1][A] -s480p/x_8_s0 +R41C29[1][A] +s480p/x_14_s0

Path Statistics:

@@ -12551,7 +13235,7 @@

Path17

Arrival Data Path Delay -cell: 0.200, 53.008%; route: 0.003, 0.838%; tC2Q: 0.174, 46.154% +cell: 0.200, 53.157%; route: 0.002, 0.560%; tC2Q: 0.174, 46.283% Required Clock Path Delay @@ -12563,31 +13247,31 @@

Path18

- + - + - + - + - + - + - +
Slack0.3680.367
Data Arrival Time1.1172.025
Data Required Time0.7491.659
Froms480p/x_14_s0gw_gao_inst_0/u_ao_top/bit_count_3_s1
Tos480p/x_14_s0gw_gao_inst_0/u_ao_top/bit_count_3_s1
Launch Clkclk:[R]tck_pad_i:[R]
Latch Clkclk:[R]tck_pad_i:[R]

Data Arrival Path:

@@ -12617,7 +13301,7 @@

Path18

-clk +tck_pad_i 0.000 @@ -12625,62 +13309,80 @@

Path18

tCL RR 1 -IOL29[A] -clk_ibuf/I +IOR26[A] +gw_gao_inst_0/tck_ibuf/I 0.581 0.581 tINS RR -207 -IOL29[A] -clk_ibuf/O +1 +IOR26[A] +gw_gao_inst_0/tck_ibuf/O -0.740 -0.159 +0.581 +0.000 tNET RR 1 -R38C34[1][A] -s480p/x_14_s0/CLK +- +gw_gao_inst_0/u_gw_jtag/tck_pad_i -0.914 +1.162 +0.581 +tINS +RR +181 +- +gw_gao_inst_0/u_gw_jtag/tck_o + + +1.649 +0.487 +tNET +RR +1 +R41C24[0][A] +gw_gao_inst_0/u_ao_top/bit_count_3_s1/CLK + + +1.823 0.174 tC2Q RR -3 -R38C34[1][A] -s480p/x_14_s0/Q +4 +R41C24[0][A] +gw_gao_inst_0/u_ao_top/bit_count_3_s1/Q -0.917 -0.003 +1.825 +0.002 tNET RR -2 -R38C34[1][A] -s480p/n166_s/I1 +1 +R41C24[0][A] +gw_gao_inst_0/u_ao_top/n445_s2/I1 -1.117 +2.025 0.200 tINS RF 1 -R38C34[1][A] -s480p/n166_s/SUM +R41C24[0][A] +gw_gao_inst_0/u_ao_top/n445_s2/F -1.117 +2.025 0.000 tNET FF 1 -R38C34[1][A] -s480p/x_14_s0/D +R41C24[0][A] +gw_gao_inst_0/u_ao_top/bit_count_3_s1/D

Data Required Path:

@@ -12710,7 +13412,7 @@

Path18

-clk +tck_pad_i 0.000 @@ -12718,35 +13420,53 @@

Path18

tCL RR 1 -IOL29[A] -clk_ibuf/I +IOR26[A] +gw_gao_inst_0/tck_ibuf/I 0.581 0.581 tINS RR -207 -IOL29[A] -clk_ibuf/O +1 +IOR26[A] +gw_gao_inst_0/tck_ibuf/O -0.740 -0.159 +0.581 +0.000 tNET RR 1 -R38C34[1][A] -s480p/x_14_s0/CLK +- +gw_gao_inst_0/u_gw_jtag/tck_pad_i -0.749 +1.162 +0.581 +tINS +RR +181 +- +gw_gao_inst_0/u_gw_jtag/tck_o + + +1.649 +0.487 +tNET +RR +1 +R41C24[0][A] +gw_gao_inst_0/u_ao_top/bit_count_3_s1/CLK + + +1.659 0.009 tHld 1 -R38C34[1][A] -s480p/x_14_s0 +R41C24[0][A] +gw_gao_inst_0/u_ao_top/bit_count_3_s1

Path Statistics:

@@ -12765,15 +13485,15 @@

Path18

Arrival Clock Path Delay -cell: 0.581, 78.528%; route: 0.159, 21.472% +cell: 1.162, 70.449%; route: 0.487, 29.551% Arrival Data Path Delay -cell: 0.200, 53.008%; route: 0.003, 0.838%; tC2Q: 0.174, 46.154% +cell: 0.200, 53.157%; route: 0.002, 0.560%; tC2Q: 0.174, 46.283% Required Clock Path Delay -cell: 0.581, 78.528%; route: 0.159, 21.472% +cell: 1.162, 70.449%; route: 0.487, 29.551%

Path19

@@ -12781,11 +13501,11 @@

Path19

- + - + @@ -12793,11 +13513,11 @@

Path19

- + - + @@ -12879,44 +13599,44 @@

Path19

- - + + - - - + + + - - + + - - + + - + - - + + - + - - + +
Slack0.3680.367
Data Arrival Time2.0262.025
Data Required Time
Fromgw_gao_inst_0/u_ao_top/word_count_0_s0gw_gao_inst_0/u_ao_top/word_count_11_s0
Togw_gao_inst_0/u_ao_top/word_count_0_s0gw_gao_inst_0/u_ao_top/word_count_11_s0
Launch Clk tNET RR 1R41C30[0][A]gw_gao_inst_0/u_ao_top/word_count_0_s0/CLKR42C24[0][A]gw_gao_inst_0/u_ao_top/word_count_11_s0/CLK
1.823 0.174 tC2Q RR5R41C30[0][A]gw_gao_inst_0/u_ao_top/word_count_0_s0/Q2R42C24[0][A]gw_gao_inst_0/u_ao_top/word_count_11_s0/Q
1.8270.0031.8250.002 tNET RR 1R41C30[0][A]gw_gao_inst_0/u_ao_top/data_to_word_counter_0_s4/I0R42C24[0][A]gw_gao_inst_0/u_ao_top/data_to_word_counter_11_s2/I3
2.0262.025 0.200 tINS RF 1R41C30[0][A]gw_gao_inst_0/u_ao_top/data_to_word_counter_0_s4/FR42C24[0][A]gw_gao_inst_0/u_ao_top/data_to_word_counter_11_s2/F
2.0262.025 0.000 tNET FF 1R41C30[0][A]gw_gao_inst_0/u_ao_top/word_count_0_s0/DR42C24[0][A]gw_gao_inst_0/u_ao_top/word_count_11_s0/D

Data Required Path:

@@ -12990,8 +13710,8 @@

Path19

tNET RR 1 -R41C30[0][A] -gw_gao_inst_0/u_ao_top/word_count_0_s0/CLK +R42C24[0][A] +gw_gao_inst_0/u_ao_top/word_count_11_s0/CLK 1.659 @@ -12999,8 +13719,8 @@

Path19

tHld 1 -R41C30[0][A] -gw_gao_inst_0/u_ao_top/word_count_0_s0 +R42C24[0][A] +gw_gao_inst_0/u_ao_top/word_count_11_s0

Path Statistics:

@@ -13023,7 +13743,7 @@

Path19

Arrival Data Path Delay -cell: 0.200, 53.008%; route: 0.003, 0.838%; tC2Q: 0.174, 46.154% +cell: 0.200, 53.157%; route: 0.002, 0.560%; tC2Q: 0.174, 46.283% Required Clock Path Delay @@ -13039,27 +13759,27 @@

Path20

Data Arrival Time -2.026 +1.117 Data Required Time -1.659 +0.749 From -gw_gao_inst_0/u_ao_top/word_count_11_s0 +s480p/y_6_s0 To -gw_gao_inst_0/u_ao_top/word_count_11_s0 +s480p/y_6_s0 Launch Clk -tck_pad_i:[R] +clk:[R] Latch Clk -tck_pad_i:[R] +clk:[R]

Data Arrival Path:

@@ -13089,7 +13809,7 @@

Path20

-tck_pad_i +clk 0.000 @@ -13097,80 +13817,62 @@

Path20

tCL RR 1 -IOR26[A] -gw_gao_inst_0/tck_ibuf/I - - -0.581 -0.581 -tINS -RR -1 -IOR26[A] -gw_gao_inst_0/tck_ibuf/O +IOL29[A] +clk_ibuf/I 0.581 -0.000 -tNET -RR -1 -- -gw_gao_inst_0/u_gw_jtag/tck_pad_i - - -1.162 0.581 tINS RR -181 -- -gw_gao_inst_0/u_gw_jtag/tck_o +277 +IOL29[A] +clk_ibuf/O -1.649 -0.487 +0.740 +0.159 tNET RR 1 -R43C31[1][A] -gw_gao_inst_0/u_ao_top/word_count_11_s0/CLK +R36C28[0][A] +s480p/y_6_s0/CLK -1.823 +0.914 0.174 tC2Q RR 3 -R43C31[1][A] -gw_gao_inst_0/u_ao_top/word_count_11_s0/Q +R36C28[0][A] +s480p/y_6_s0/Q -1.827 +0.917 0.003 tNET RR -1 -R43C31[1][A] -gw_gao_inst_0/u_ao_top/data_to_word_counter_11_s2/I2 +2 +R36C28[0][A] +s480p/n109_s/I1 -2.026 +1.117 0.200 tINS RF 1 -R43C31[1][A] -gw_gao_inst_0/u_ao_top/data_to_word_counter_11_s2/F +R36C28[0][A] +s480p/n109_s/SUM -2.026 +1.117 0.000 tNET FF 1 -R43C31[1][A] -gw_gao_inst_0/u_ao_top/word_count_11_s0/D +R36C28[0][A] +s480p/y_6_s0/D

Data Required Path:

@@ -13200,7 +13902,7 @@

Path20

-tck_pad_i +clk 0.000 @@ -13208,53 +13910,35 @@

Path20

tCL RR 1 -IOR26[A] -gw_gao_inst_0/tck_ibuf/I - - -0.581 -0.581 -tINS -RR -1 -IOR26[A] -gw_gao_inst_0/tck_ibuf/O +IOL29[A] +clk_ibuf/I 0.581 -0.000 -tNET -RR -1 -- -gw_gao_inst_0/u_gw_jtag/tck_pad_i - - -1.162 0.581 tINS RR -181 -- -gw_gao_inst_0/u_gw_jtag/tck_o +277 +IOL29[A] +clk_ibuf/O -1.649 -0.487 +0.740 +0.159 tNET RR 1 -R43C31[1][A] -gw_gao_inst_0/u_ao_top/word_count_11_s0/CLK +R36C28[0][A] +s480p/y_6_s0/CLK -1.659 +0.749 0.009 tHld 1 -R43C31[1][A] -gw_gao_inst_0/u_ao_top/word_count_11_s0 +R36C28[0][A] +s480p/y_6_s0

Path Statistics:

@@ -13273,7 +13957,7 @@

Path20

Arrival Clock Path Delay -cell: 1.162, 70.449%; route: 0.487, 29.551% +cell: 0.581, 78.528%; route: 0.159, 21.472% Arrival Data Path Delay @@ -13281,7 +13965,7 @@

Path20

Required Clock Path Delay -cell: 1.162, 70.449%; route: 0.487, 29.551% +cell: 0.581, 78.528%; route: 0.159, 21.472%

Path21

@@ -13293,27 +13977,27 @@

Path21

Data Arrival Time -2.026 +1.117 Data Required Time -1.659 +0.749 From -gw_gao_inst_0/u_ao_top/word_count_13_s0 +s480p/x_2_s0 To -gw_gao_inst_0/u_ao_top/word_count_13_s0 +s480p/x_2_s0 Launch Clk -tck_pad_i:[R] +clk:[R] Latch Clk -tck_pad_i:[R] +clk:[R]

Data Arrival Path:

@@ -13343,7 +14027,7 @@

Path21

-tck_pad_i +clk 0.000 @@ -13351,80 +14035,62 @@

Path21

tCL RR 1 -IOR26[A] -gw_gao_inst_0/tck_ibuf/I - - -0.581 -0.581 -tINS -RR -1 -IOR26[A] -gw_gao_inst_0/tck_ibuf/O +IOL29[A] +clk_ibuf/I 0.581 -0.000 -tNET -RR -1 -- -gw_gao_inst_0/u_gw_jtag/tck_pad_i - - -1.162 0.581 tINS RR -181 -- -gw_gao_inst_0/u_gw_jtag/tck_o +277 +IOL29[A] +clk_ibuf/O -1.649 -0.487 +0.740 +0.159 tNET RR 1 -R44C31[0][A] -gw_gao_inst_0/u_ao_top/word_count_13_s0/CLK +R41C27[1][A] +s480p/x_2_s0/CLK -1.823 +0.914 0.174 tC2Q RR -3 -R44C31[0][A] -gw_gao_inst_0/u_ao_top/word_count_13_s0/Q +4 +R41C27[1][A] +s480p/x_2_s0/Q -1.827 +0.917 0.003 tNET RR -1 -R44C31[0][A] -gw_gao_inst_0/u_ao_top/data_to_word_counter_13_s2/I3 +2 +R41C27[1][A] +s480p/n178_s/I1 -2.026 +1.117 0.200 tINS RF 1 -R44C31[0][A] -gw_gao_inst_0/u_ao_top/data_to_word_counter_13_s2/F +R41C27[1][A] +s480p/n178_s/SUM -2.026 +1.117 0.000 tNET FF 1 -R44C31[0][A] -gw_gao_inst_0/u_ao_top/word_count_13_s0/D +R41C27[1][A] +s480p/x_2_s0/D

Data Required Path:

@@ -13454,7 +14120,7 @@

Path21

-tck_pad_i +clk 0.000 @@ -13462,53 +14128,35 @@

Path21

tCL RR 1 -IOR26[A] -gw_gao_inst_0/tck_ibuf/I - - -0.581 -0.581 -tINS -RR -1 -IOR26[A] -gw_gao_inst_0/tck_ibuf/O +IOL29[A] +clk_ibuf/I 0.581 -0.000 -tNET -RR -1 -- -gw_gao_inst_0/u_gw_jtag/tck_pad_i - - -1.162 0.581 tINS RR -181 -- -gw_gao_inst_0/u_gw_jtag/tck_o +277 +IOL29[A] +clk_ibuf/O -1.649 -0.487 +0.740 +0.159 tNET RR 1 -R44C31[0][A] -gw_gao_inst_0/u_ao_top/word_count_13_s0/CLK +R41C27[1][A] +s480p/x_2_s0/CLK -1.659 +0.749 0.009 tHld 1 -R44C31[0][A] -gw_gao_inst_0/u_ao_top/word_count_13_s0 +R41C27[1][A] +s480p/x_2_s0

Path Statistics:

@@ -13527,7 +14175,7 @@

Path21

Arrival Clock Path Delay -cell: 1.162, 70.449%; route: 0.487, 29.551% +cell: 0.581, 78.528%; route: 0.159, 21.472% Arrival Data Path Delay @@ -13535,7 +14183,7 @@

Path21

Required Clock Path Delay -cell: 1.162, 70.449%; route: 0.487, 29.551% +cell: 0.581, 78.528%; route: 0.159, 21.472%

Path22

@@ -13543,31 +14191,31 @@

Path22

- + - + - + - + - + - + - +
Slack0.3690.368
Data Arrival Time2.0281.117
Data Required Time1.6590.749
Fromgw_gao_inst_0/u_ao_top/bit_count_0_s3s480p/x_8_s0
Togw_gao_inst_0/u_ao_top/bit_count_0_s3s480p/x_8_s0
Launch Clktck_pad_i:[R]clk:[R]
Latch Clktck_pad_i:[R]clk:[R]

Data Arrival Path:

@@ -13597,7 +14245,7 @@

Path22

-tck_pad_i +clk 0.000 @@ -13605,80 +14253,62 @@

Path22

tCL RR 1 -IOR26[A] -gw_gao_inst_0/tck_ibuf/I - - -0.581 -0.581 -tINS -RR -1 -IOR26[A] -gw_gao_inst_0/tck_ibuf/O +IOL29[A] +clk_ibuf/I 0.581 -0.000 -tNET -RR -1 -- -gw_gao_inst_0/u_gw_jtag/tck_pad_i - - -1.162 0.581 tINS RR -181 -- -gw_gao_inst_0/u_gw_jtag/tck_o +277 +IOL29[A] +clk_ibuf/O -1.649 -0.487 +0.740 +0.159 tNET RR 1 -R42C29[1][A] -gw_gao_inst_0/u_ao_top/bit_count_0_s3/CLK +R41C28[1][A] +s480p/x_8_s0/CLK -1.823 +0.914 0.174 tC2Q RR -7 -R42C29[1][A] -gw_gao_inst_0/u_ao_top/bit_count_0_s3/Q +4 +R41C28[1][A] +s480p/x_8_s0/Q -1.828 -0.004 +0.917 +0.003 tNET RR -1 -R42C29[1][A] -gw_gao_inst_0/u_ao_top/n448_s5/I0 +2 +R41C28[1][A] +s480p/n172_s/I1 -2.028 +1.117 0.200 tINS RF 1 -R42C29[1][A] -gw_gao_inst_0/u_ao_top/n448_s5/F +R41C28[1][A] +s480p/n172_s/SUM -2.028 +1.117 0.000 tNET FF 1 -R42C29[1][A] -gw_gao_inst_0/u_ao_top/bit_count_0_s3/D +R41C28[1][A] +s480p/x_8_s0/D

Data Required Path:

@@ -13708,7 +14338,7 @@

Path22

-tck_pad_i +clk 0.000 @@ -13716,53 +14346,35 @@

Path22

tCL RR 1 -IOR26[A] -gw_gao_inst_0/tck_ibuf/I - - -0.581 -0.581 -tINS -RR -1 -IOR26[A] -gw_gao_inst_0/tck_ibuf/O +IOL29[A] +clk_ibuf/I 0.581 -0.000 -tNET -RR -1 -- -gw_gao_inst_0/u_gw_jtag/tck_pad_i - - -1.162 0.581 tINS RR -181 -- -gw_gao_inst_0/u_gw_jtag/tck_o +277 +IOL29[A] +clk_ibuf/O -1.649 -0.487 +0.740 +0.159 tNET RR 1 -R42C29[1][A] -gw_gao_inst_0/u_ao_top/bit_count_0_s3/CLK +R41C28[1][A] +s480p/x_8_s0/CLK -1.659 +0.749 0.009 tHld 1 -R42C29[1][A] -gw_gao_inst_0/u_ao_top/bit_count_0_s3 +R41C28[1][A] +s480p/x_8_s0

Path Statistics:

@@ -13781,15 +14393,15 @@

Path22

Arrival Clock Path Delay -cell: 1.162, 70.449%; route: 0.487, 29.551% +cell: 0.581, 78.528%; route: 0.159, 21.472% Arrival Data Path Delay -cell: 0.200, 52.861%; route: 0.004, 1.114%; tC2Q: 0.174, 46.025% +cell: 0.200, 53.008%; route: 0.003, 0.838%; tC2Q: 0.174, 46.154% Required Clock Path Delay -cell: 1.162, 70.449%; route: 0.487, 29.551% +cell: 0.581, 78.528%; route: 0.159, 21.472%

Path23

@@ -13797,31 +14409,31 @@

Path23

- + - + - + - + - + - + - +
Slack0.3690.368
Data Arrival Time2.0281.117
Data Required Time1.6590.749
Fromgw_gao_inst_0/u_ao_top/bit_count_1_s1s480p/x_12_s0
Togw_gao_inst_0/u_ao_top/bit_count_1_s1s480p/x_12_s0
Launch Clktck_pad_i:[R]clk:[R]
Latch Clktck_pad_i:[R]clk:[R]

Data Arrival Path:

@@ -13851,7 +14463,7 @@

Path23

-tck_pad_i +clk 0.000 @@ -13859,80 +14471,62 @@

Path23

tCL RR 1 -IOR26[A] -gw_gao_inst_0/tck_ibuf/I - - -0.581 -0.581 -tINS -RR -1 -IOR26[A] -gw_gao_inst_0/tck_ibuf/O +IOL29[A] +clk_ibuf/I 0.581 -0.000 -tNET -RR -1 -- -gw_gao_inst_0/u_gw_jtag/tck_pad_i - - -1.162 0.581 tINS RR -181 -- -gw_gao_inst_0/u_gw_jtag/tck_o +277 +IOL29[A] +clk_ibuf/O -1.649 -0.487 +0.740 +0.159 tNET RR 1 -R39C30[1][A] -gw_gao_inst_0/u_ao_top/bit_count_1_s1/CLK +R41C29[0][A] +s480p/x_12_s0/CLK -1.823 +0.914 0.174 tC2Q RR -6 -R39C30[1][A] -gw_gao_inst_0/u_ao_top/bit_count_1_s1/Q +3 +R41C29[0][A] +s480p/x_12_s0/Q -1.828 -0.004 +0.917 +0.003 tNET RR -1 -R39C30[1][A] -gw_gao_inst_0/u_ao_top/n447_s3/I3 +2 +R41C29[0][A] +s480p/n168_s/I1 -2.028 +1.117 0.200 tINS RF 1 -R39C30[1][A] -gw_gao_inst_0/u_ao_top/n447_s3/F +R41C29[0][A] +s480p/n168_s/SUM -2.028 +1.117 0.000 tNET FF 1 -R39C30[1][A] -gw_gao_inst_0/u_ao_top/bit_count_1_s1/D +R41C29[0][A] +s480p/x_12_s0/D

Data Required Path:

@@ -13962,7 +14556,7 @@

Path23

-tck_pad_i +clk 0.000 @@ -13970,53 +14564,35 @@

Path23

tCL RR 1 -IOR26[A] -gw_gao_inst_0/tck_ibuf/I - - -0.581 -0.581 -tINS -RR -1 -IOR26[A] -gw_gao_inst_0/tck_ibuf/O +IOL29[A] +clk_ibuf/I 0.581 -0.000 -tNET -RR -1 -- -gw_gao_inst_0/u_gw_jtag/tck_pad_i - - -1.162 0.581 tINS RR -181 -- -gw_gao_inst_0/u_gw_jtag/tck_o +277 +IOL29[A] +clk_ibuf/O -1.649 -0.487 +0.740 +0.159 tNET RR 1 -R39C30[1][A] -gw_gao_inst_0/u_ao_top/bit_count_1_s1/CLK +R41C29[0][A] +s480p/x_12_s0/CLK -1.659 +0.749 0.009 tHld 1 -R39C30[1][A] -gw_gao_inst_0/u_ao_top/bit_count_1_s1 +R41C29[0][A] +s480p/x_12_s0

Path Statistics:

@@ -14035,15 +14611,15 @@

Path23

Arrival Clock Path Delay -cell: 1.162, 70.449%; route: 0.487, 29.551% +cell: 0.581, 78.528%; route: 0.159, 21.472% Arrival Data Path Delay -cell: 0.200, 52.861%; route: 0.004, 1.114%; tC2Q: 0.174, 46.025% +cell: 0.200, 53.008%; route: 0.003, 0.838%; tC2Q: 0.174, 46.154% Required Clock Path Delay -cell: 1.162, 70.449%; route: 0.487, 29.551% +cell: 0.581, 78.528%; route: 0.159, 21.472%

Path24

@@ -14051,11 +14627,11 @@

Path24

- + - + @@ -14063,11 +14639,11 @@

Path24

- + - + @@ -14149,44 +14725,44 @@

Path24

- - + + - - - + + + - - + + - - + + - + - - + + - + - - + +
Slack0.3690.368
Data Arrival Time2.0282.026
Data Required Time
Fromgw_gao_inst_0/u_ao_top/word_count_1_s0gw_gao_inst_0/u_ao_top/bit_count_2_s1
Togw_gao_inst_0/u_ao_top/word_count_1_s0gw_gao_inst_0/u_ao_top/bit_count_2_s1
Launch Clk tNET RR 1R41C31[1][A]gw_gao_inst_0/u_ao_top/word_count_1_s0/CLKR41C26[1][A]gw_gao_inst_0/u_ao_top/bit_count_2_s1/CLK
1.823 0.174 tC2Q RR5R41C31[1][A]gw_gao_inst_0/u_ao_top/word_count_1_s0/Q4R41C26[1][A]gw_gao_inst_0/u_ao_top/bit_count_2_s1/Q
1.8280.0041.8270.003 tNET RR 1R41C31[1][A]gw_gao_inst_0/u_ao_top/data_to_word_counter_1_s2/I1R41C26[1][A]gw_gao_inst_0/u_ao_top/n446_s2/I3
2.0282.026 0.200 tINS RF 1R41C31[1][A]gw_gao_inst_0/u_ao_top/data_to_word_counter_1_s2/FR41C26[1][A]gw_gao_inst_0/u_ao_top/n446_s2/F
2.0282.026 0.000 tNET FF 1R41C31[1][A]gw_gao_inst_0/u_ao_top/word_count_1_s0/DR41C26[1][A]gw_gao_inst_0/u_ao_top/bit_count_2_s1/D

Data Required Path:

@@ -14260,8 +14836,8 @@

Path24

tNET RR 1 -R41C31[1][A] -gw_gao_inst_0/u_ao_top/word_count_1_s0/CLK +R41C26[1][A] +gw_gao_inst_0/u_ao_top/bit_count_2_s1/CLK 1.659 @@ -14269,8 +14845,8 @@

Path24

tHld 1 -R41C31[1][A] -gw_gao_inst_0/u_ao_top/word_count_1_s0 +R41C26[1][A] +gw_gao_inst_0/u_ao_top/bit_count_2_s1

Path Statistics:

@@ -14293,7 +14869,7 @@

Path24

Arrival Data Path Delay -cell: 0.200, 52.861%; route: 0.004, 1.114%; tC2Q: 0.174, 46.025% +cell: 0.200, 53.008%; route: 0.003, 0.838%; tC2Q: 0.174, 46.154% Required Clock Path Delay @@ -14305,11 +14881,11 @@

Path25

- + - + @@ -14317,11 +14893,11 @@

Path25

- + - + @@ -14403,44 +14979,44 @@

Path25

- - + + - - - + + + - - + + - - + + - + - - + + - + - - + +
Slack0.3690.368
Data Arrival Time2.0282.026
Data Required Time
Fromgw_gao_inst_0/u_ao_top/word_count_5_s0gw_gao_inst_0/u_ao_top/word_count_6_s0
Togw_gao_inst_0/u_ao_top/word_count_5_s0gw_gao_inst_0/u_ao_top/word_count_6_s0
Launch Clk tNET RR 1R43C29[1][A]gw_gao_inst_0/u_ao_top/word_count_5_s0/CLKR42C26[0][A]gw_gao_inst_0/u_ao_top/word_count_6_s0/CLK
1.823 0.174 tC2Q RR4R43C29[1][A]gw_gao_inst_0/u_ao_top/word_count_5_s0/Q3R42C26[0][A]gw_gao_inst_0/u_ao_top/word_count_6_s0/Q
1.8280.0041.8270.003 tNET RR 1R43C29[1][A]gw_gao_inst_0/u_ao_top/data_to_word_counter_5_s2/I3R42C26[0][A]gw_gao_inst_0/u_ao_top/data_to_word_counter_6_s2/I1
2.0282.026 0.200 tINS RF 1R43C29[1][A]gw_gao_inst_0/u_ao_top/data_to_word_counter_5_s2/FR42C26[0][A]gw_gao_inst_0/u_ao_top/data_to_word_counter_6_s2/F
2.0282.026 0.000 tNET FF 1R43C29[1][A]gw_gao_inst_0/u_ao_top/word_count_5_s0/DR42C26[0][A]gw_gao_inst_0/u_ao_top/word_count_6_s0/D

Data Required Path:

@@ -14514,8 +15090,8 @@

Path25

tNET RR 1 -R43C29[1][A] -gw_gao_inst_0/u_ao_top/word_count_5_s0/CLK +R42C26[0][A] +gw_gao_inst_0/u_ao_top/word_count_6_s0/CLK 1.659 @@ -14523,8 +15099,8 @@

Path25

tHld 1 -R43C29[1][A] -gw_gao_inst_0/u_ao_top/word_count_5_s0 +R42C26[0][A] +gw_gao_inst_0/u_ao_top/word_count_6_s0

Path Statistics:

@@ -14547,7 +15123,7 @@

Path25

Arrival Data Path Delay -cell: 0.200, 52.861%; route: 0.004, 1.114%; tC2Q: 0.174, 46.025% +cell: 0.200, 53.008%; route: 0.003, 0.838%; tC2Q: 0.174, 46.154% Required Clock Path Delay @@ -14561,11 +15137,11 @@

Path1

- + - + @@ -14631,7 +15207,7 @@

Path1

- + @@ -14641,7 +15217,7 @@

Path1

- + @@ -14650,16 +15226,16 @@

Path1

- + - - + + - +
Slack10.39010.398
Data Arrival Time141.393141.384
Data Required Time 0.591 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FF 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
141.3930.376141.3840.368 tNET FF 1R41C26[1][A]R44C21[0][A] gw_gao_inst_0/u_ao_top/capture_end_tck_0_s0/CLEAR
@@ -14734,7 +15310,7 @@

Path1

tNET RR 1 -R41C26[1][A] +R44C21[0][A] gw_gao_inst_0/u_ao_top/capture_end_tck_0_s0/CLK @@ -14752,7 +15328,7 @@

Path1

tSu 1 -R41C26[1][A] +R44C21[0][A] gw_gao_inst_0/u_ao_top/capture_end_tck_0_s0 @@ -14776,7 +15352,7 @@

Path1

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.376, 65.304%; tC2Q: 0.200, 34.696% +cell: 0.000, 0.000%; route: 0.368, 64.776%; tC2Q: 0.200, 35.224% Required Clock Path Delay @@ -14788,11 +15364,11 @@

Path2

- + - + @@ -14858,7 +15434,7 @@

Path2

- + @@ -14868,7 +15444,7 @@

Path2

- + @@ -14877,16 +15453,16 @@

Path2

- + - - + + - +
Slack10.39010.398
Data Arrival Time141.393141.384
Data Required Time 0.591 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FF 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
141.3930.376141.3840.368 tNET FF 1R41C26[2][A]R44C21[2][A] gw_gao_inst_0/u_ao_top/capture_end_tck_1_s0/CLEAR
@@ -14961,7 +15537,7 @@

Path2

tNET RR 1 -R41C26[2][A] +R44C21[2][A] gw_gao_inst_0/u_ao_top/capture_end_tck_1_s0/CLK @@ -14979,7 +15555,7 @@

Path2

tSu 1 -R41C26[2][A] +R44C21[2][A] gw_gao_inst_0/u_ao_top/capture_end_tck_1_s0 @@ -15003,7 +15579,7 @@

Path2

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.376, 65.304%; tC2Q: 0.200, 34.696% +cell: 0.000, 0.000%; route: 0.368, 64.776%; tC2Q: 0.200, 35.224% Required Clock Path Delay @@ -15015,11 +15591,11 @@

Path3

- + - + @@ -15085,7 +15661,7 @@

Path3

- + @@ -15095,7 +15671,7 @@

Path3

- + @@ -15104,16 +15680,16 @@

Path3

- + - - + + - +
Slack10.39010.398
Data Arrival Time141.393141.384
Data Required Time 0.591 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FF 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
141.3930.376141.3840.368 tNET FF 1R41C26[1][B]R44C21[0][B] gw_gao_inst_0/u_ao_top/capture_end_tck_2_s0/CLEAR
@@ -15188,7 +15764,7 @@

Path3

tNET RR 1 -R41C26[1][B] +R44C21[0][B] gw_gao_inst_0/u_ao_top/capture_end_tck_2_s0/CLK @@ -15206,7 +15782,7 @@

Path3

tSu 1 -R41C26[1][B] +R44C21[0][B] gw_gao_inst_0/u_ao_top/capture_end_tck_2_s0 @@ -15230,7 +15806,7 @@

Path3

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.376, 65.304%; tC2Q: 0.200, 34.696% +cell: 0.000, 0.000%; route: 0.368, 64.776%; tC2Q: 0.200, 35.224% Required Clock Path Delay @@ -15242,11 +15818,11 @@

Path4

- + - + @@ -15258,7 +15834,7 @@

Path4

- + @@ -15312,7 +15888,7 @@

Path4

- + @@ -15322,7 +15898,7 @@

Path4

- + @@ -15331,17 +15907,17 @@

Path4

- + - - + + - - + +
Slack18.96818.998
Data Arrival Time21.79921.769
Data Required Time
Togw_gao_inst_0/u_ao_top/internal_reg_start_syn_0_s0gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_1_s5
Launch Clk 0.591 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FF 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.7990.78221.7690.752 tNET FF 1R43C27[0][B]gw_gao_inst_0/u_ao_top/internal_reg_start_syn_0_s0/CLEARR39C19[1][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_1_s5/CLEAR

Data Required Path:

@@ -15387,7 +15963,7 @@

Path4

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -15397,8 +15973,8 @@

Path4

tNET RR 1 -R43C27[0][B] -gw_gao_inst_0/u_ao_top/internal_reg_start_syn_0_s0/CLK +R39C19[1][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_1_s5/CLK 40.767 @@ -15406,8 +15982,8 @@

Path4

tSu 1 -R43C27[0][B] -gw_gao_inst_0/u_ao_top/internal_reg_start_syn_0_s0 +R39C19[1][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_1_s5

Path Statistics:

@@ -15430,7 +16006,7 @@

Path4

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.782, 79.637%; tC2Q: 0.200, 20.363% +cell: 0.000, 0.000%; route: 0.752, 78.998%; tC2Q: 0.200, 21.002% Required Clock Path Delay @@ -15442,11 +16018,11 @@

Path5

- + - + @@ -15458,7 +16034,7 @@

Path5

- + @@ -15512,7 +16088,7 @@

Path5

- + @@ -15522,7 +16098,7 @@

Path5

- + @@ -15531,17 +16107,17 @@

Path5

- + - - + + - - + +
Slack18.96818.998
Data Arrival Time21.79921.769
Data Required Time
Togw_gao_inst_0/u_ao_top/internal_reg_start_syn_1_s0gw_gao_inst_0/u_ao_top/capture_end_dly_s0
Launch Clk 0.591 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FF 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.7990.78221.7690.752 tNET FF 1R43C27[0][A]gw_gao_inst_0/u_ao_top/internal_reg_start_syn_1_s0/CLEARR39C19[0][A]gw_gao_inst_0/u_ao_top/capture_end_dly_s0/PRESET

Data Required Path:

@@ -15587,7 +16163,7 @@

Path5

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -15597,8 +16173,8 @@

Path5

tNET RR 1 -R43C27[0][A] -gw_gao_inst_0/u_ao_top/internal_reg_start_syn_1_s0/CLK +R39C19[0][A] +gw_gao_inst_0/u_ao_top/capture_end_dly_s0/CLK 40.767 @@ -15606,8 +16182,8 @@

Path5

tSu 1 -R43C27[0][A] -gw_gao_inst_0/u_ao_top/internal_reg_start_syn_1_s0 +R39C19[0][A] +gw_gao_inst_0/u_ao_top/capture_end_dly_s0

Path Statistics:

@@ -15630,7 +16206,7 @@

Path5

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.782, 79.637%; tC2Q: 0.200, 20.363% +cell: 0.000, 0.000%; route: 0.752, 78.998%; tC2Q: 0.200, 21.002% Required Clock Path Delay @@ -15642,11 +16218,11 @@

Path6

- + - + @@ -15658,7 +16234,7 @@

Path6

- + @@ -15712,7 +16288,7 @@

Path6

- + @@ -15722,7 +16298,7 @@

Path6

- + @@ -15731,17 +16307,17 @@

Path6

- + - - + + - - + +
Slack19.03319.051
Data Arrival Time21.73321.715
Data Required Time
Togw_gao_inst_0/u_ao_top/capture_start_sel_s1gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_0_s5
Launch Clk 0.591 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FF 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.7330.71721.7150.699 tNET FF 1R43C26[2][A]gw_gao_inst_0/u_ao_top/capture_start_sel_s1/CLEARR40C25[1][B]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_0_s5/CLEAR

Data Required Path:

@@ -15787,7 +16363,7 @@

Path6

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -15797,8 +16373,8 @@

Path6

tNET RR 1 -R43C26[2][A] -gw_gao_inst_0/u_ao_top/capture_start_sel_s1/CLK +R40C25[1][B] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_0_s5/CLK 40.767 @@ -15806,8 +16382,8 @@

Path6

tSu 1 -R43C26[2][A] -gw_gao_inst_0/u_ao_top/capture_start_sel_s1 +R40C25[1][B] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_0_s5

Path Statistics:

@@ -15830,7 +16406,7 @@

Path6

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.717, 78.182%; tC2Q: 0.200, 21.818% +cell: 0.000, 0.000%; route: 0.699, 77.751%; tC2Q: 0.200, 22.249% Required Clock Path Delay @@ -15842,11 +16418,11 @@

Path7

- + - + @@ -15858,7 +16434,7 @@

Path7

- + @@ -15912,7 +16488,7 @@

Path7

- + @@ -15922,7 +16498,7 @@

Path7

- + @@ -15931,17 +16507,17 @@

Path7

- + - - + + - - + +
Slack19.03319.051
Data Arrival Time21.73321.715
Data Required Time
Togw_gao_inst_0/u_ao_top/capture_end_dly_s0gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_12_s6
Launch Clk 0.591 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FF 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.7330.71721.7150.699 tNET FF 1R43C26[0][B]gw_gao_inst_0/u_ao_top/capture_end_dly_s0/PRESETR40C25[1][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_12_s6/CLEAR

Data Required Path:

@@ -15987,7 +16563,7 @@

Path7

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -15997,8 +16573,8 @@

Path7

tNET RR 1 -R43C26[0][B] -gw_gao_inst_0/u_ao_top/capture_end_dly_s0/CLK +R40C25[1][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_12_s6/CLK 40.767 @@ -16006,8 +16582,8 @@

Path7

tSu 1 -R43C26[0][B] -gw_gao_inst_0/u_ao_top/capture_end_dly_s0 +R40C25[1][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_12_s6

Path Statistics:

@@ -16030,7 +16606,7 @@

Path7

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.717, 78.182%; tC2Q: 0.200, 21.818% +cell: 0.000, 0.000%; route: 0.699, 77.751%; tC2Q: 0.200, 22.249% Required Clock Path Delay @@ -16042,11 +16618,11 @@

Path8

- + - + @@ -16058,7 +16634,7 @@

Path8

- + @@ -16112,7 +16688,7 @@

Path8

- + @@ -16122,7 +16698,7 @@

Path8

- + @@ -16131,17 +16707,17 @@

Path8

- + - - + + - - + +
Slack19.03319.132
Data Arrival Time21.73321.635
Data Required Time
Togw_gao_inst_0/u_ao_top/internal_reg_start_dly_0_s0gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_6_s5
Launch Clk 0.591 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FF 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.7330.71721.6350.618 tNET FF 1R43C26[0][A]gw_gao_inst_0/u_ao_top/internal_reg_start_dly_0_s0/CLEARR39C21[2][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_6_s5/CLEAR

Data Required Path:

@@ -16187,7 +16763,7 @@

Path8

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -16197,8 +16773,8 @@

Path8

tNET RR 1 -R43C26[0][A] -gw_gao_inst_0/u_ao_top/internal_reg_start_dly_0_s0/CLK +R39C21[2][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_6_s5/CLK 40.767 @@ -16206,8 +16782,8 @@

Path8

tSu 1 -R43C26[0][A] -gw_gao_inst_0/u_ao_top/internal_reg_start_dly_0_s0 +R39C21[2][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_6_s5

Path Statistics:

@@ -16230,7 +16806,7 @@

Path8

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.717, 78.182%; tC2Q: 0.200, 21.818% +cell: 0.000, 0.000%; route: 0.618, 75.562%; tC2Q: 0.200, 24.438% Required Clock Path Delay @@ -16242,11 +16818,11 @@

Path9

- + - + @@ -16258,7 +16834,7 @@

Path9

- + @@ -16312,7 +16888,7 @@

Path9

- + @@ -16322,7 +16898,7 @@

Path9

- + @@ -16331,17 +16907,17 @@

Path9

- + - - + + - - + +
Slack19.37619.132
Data Arrival Time21.39021.635
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_0_s5gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_3_s1
Launch Clk 0.591 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FF 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.3900.37421.6350.618 tNET FF 1R39C22[2][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_0_s5/CLEARR39C21[1][B]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_3_s1/CLEAR

Data Required Path:

@@ -16387,7 +16963,7 @@

Path9

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -16397,8 +16973,8 @@

Path9

tNET RR 1 -R39C22[2][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_0_s5/CLK +R39C21[1][B] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_3_s1/CLK 40.767 @@ -16406,8 +16982,8 @@

Path9

tSu 1 -R39C22[2][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_0_s5 +R39C21[1][B] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_3_s1

Path Statistics:

@@ -16430,7 +17006,7 @@

Path9

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.374, 65.131%; tC2Q: 0.200, 34.869% +cell: 0.000, 0.000%; route: 0.618, 75.562%; tC2Q: 0.200, 24.438% Required Clock Path Delay @@ -16442,11 +17018,11 @@

Path10

- + - + @@ -16458,7 +17034,7 @@

Path10

- + @@ -16512,7 +17088,7 @@

Path10

- + @@ -16522,7 +17098,7 @@

Path10

- + @@ -16531,17 +17107,17 @@

Path10

- + - - + + - - + +
Slack19.37619.132
Data Arrival Time21.39021.635
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_1_s5gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_8_s1
Launch Clk 0.591 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FF 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.3900.37421.6350.618 tNET FF 1R39C23[1][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_1_s5/CLEARR39C21[0][B]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_8_s1/CLEAR

Data Required Path:

@@ -16587,7 +17163,7 @@

Path10

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -16597,8 +17173,8 @@

Path10

tNET RR 1 -R39C23[1][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_1_s5/CLK +R39C21[0][B] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_8_s1/CLK 40.767 @@ -16606,8 +17182,8 @@

Path10

tSu 1 -R39C23[1][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_1_s5 +R39C21[0][B] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_8_s1

Path Statistics:

@@ -16630,7 +17206,7 @@

Path10

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.374, 65.131%; tC2Q: 0.200, 34.869% +cell: 0.000, 0.000%; route: 0.618, 75.562%; tC2Q: 0.200, 24.438% Required Clock Path Delay @@ -16642,11 +17218,11 @@

Path11

- + - + @@ -16658,7 +17234,7 @@

Path11

- + @@ -16712,7 +17288,7 @@

Path11

- + @@ -16722,7 +17298,7 @@

Path11

- + @@ -16731,17 +17307,17 @@

Path11

- + - - + + - - + +
Slack19.37619.132
Data Arrival Time21.39021.635
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_4_s5gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_11_s1
Launch Clk 0.591 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FF 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.3900.37421.6350.618 tNET FF 1R39C23[1][B]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_4_s5/CLEARR39C21[0][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_11_s1/CLEAR

Data Required Path:

@@ -16787,7 +17363,7 @@

Path11

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -16797,8 +17373,8 @@

Path11

tNET RR 1 -R39C23[1][B] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_4_s5/CLK +R39C21[0][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_11_s1/CLK 40.767 @@ -16806,8 +17382,8 @@

Path11

tSu 1 -R39C23[1][B] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_4_s5 +R39C21[0][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_11_s1

Path Statistics:

@@ -16830,7 +17406,7 @@

Path11

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.374, 65.131%; tC2Q: 0.200, 34.869% +cell: 0.000, 0.000%; route: 0.618, 75.562%; tC2Q: 0.200, 24.438% Required Clock Path Delay @@ -16842,11 +17418,11 @@

Path12

- + - + @@ -16858,7 +17434,7 @@

Path12

- + @@ -16912,7 +17488,7 @@

Path12

- + @@ -16922,7 +17498,7 @@

Path12

- + @@ -16931,17 +17507,17 @@

Path12

- + - - + + - - + +
Slack19.37619.137
Data Arrival Time21.39021.630
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_6_s5gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_7_s5
Launch Clk 0.591 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FF 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.3900.37421.6300.613 tNET FF 1R39C22[0][B]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_6_s5/CLEARR39C22[0][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_7_s5/CLEAR

Data Required Path:

@@ -16987,7 +17563,7 @@

Path12

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -16997,8 +17573,8 @@

Path12

tNET RR 1 -R39C22[0][B] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_6_s5/CLK +R39C22[0][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_7_s5/CLK 40.767 @@ -17006,8 +17582,8 @@

Path12

tSu 1 -R39C22[0][B] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_6_s5 +R39C22[0][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_7_s5

Path Statistics:

@@ -17030,7 +17606,7 @@

Path12

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.374, 65.131%; tC2Q: 0.200, 34.869% +cell: 0.000, 0.000%; route: 0.613, 75.414%; tC2Q: 0.200, 24.586% Required Clock Path Delay @@ -17042,11 +17618,11 @@

Path13

- + - + @@ -17058,7 +17634,7 @@

Path13

- + @@ -17112,7 +17688,7 @@

Path13

- + @@ -17122,7 +17698,7 @@

Path13

- + @@ -17131,17 +17707,17 @@

Path13

- + - - + + - - + +
Slack19.37619.137
Data Arrival Time21.39021.630
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_7_s5gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_9_s5
Launch Clk 0.591 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FF 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.3900.37421.6300.613 tNET FF 1R39C25[0][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_7_s5/CLEARR39C22[1][B]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_9_s5/CLEAR

Data Required Path:

@@ -17187,7 +17763,7 @@

Path13

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -17197,8 +17773,8 @@

Path13

tNET RR 1 -R39C25[0][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_7_s5/CLK +R39C22[1][B] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_9_s5/CLK 40.767 @@ -17206,8 +17782,8 @@

Path13

tSu 1 -R39C25[0][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_7_s5 +R39C22[1][B] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_9_s5

Path Statistics:

@@ -17230,7 +17806,7 @@

Path13

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.374, 65.131%; tC2Q: 0.200, 34.869% +cell: 0.000, 0.000%; route: 0.613, 75.414%; tC2Q: 0.200, 24.586% Required Clock Path Delay @@ -17242,11 +17818,11 @@

Path14

- + - + @@ -17312,7 +17888,7 @@

Path14

- + @@ -17322,7 +17898,7 @@

Path14

- + @@ -17331,16 +17907,16 @@

Path14

- + - - + + - +
Slack19.37619.137
Data Arrival Time21.39021.630
Data Required Time 0.591 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FF 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.3900.37421.6300.613 tNET FF 1R39C23[0][A]R39C22[0][B] gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_10_s5/CLEAR
@@ -17387,7 +17963,7 @@

Path14

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -17397,7 +17973,7 @@

Path14

tNET RR 1 -R39C23[0][A] +R39C22[0][B] gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_10_s5/CLK @@ -17406,7 +17982,7 @@

Path14

tSu 1 -R39C23[0][A] +R39C22[0][B] gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_10_s5 @@ -17430,7 +18006,7 @@

Path14

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.374, 65.131%; tC2Q: 0.200, 34.869% +cell: 0.000, 0.000%; route: 0.613, 75.414%; tC2Q: 0.200, 24.586% Required Clock Path Delay @@ -17442,11 +18018,11 @@

Path15

- + - + @@ -17458,7 +18034,7 @@

Path15

- + @@ -17512,7 +18088,7 @@

Path15

- + @@ -17522,7 +18098,7 @@

Path15

- + @@ -17531,17 +18107,17 @@

Path15

- + - - + + - - + +
Slack19.37619.158
Data Arrival Time21.39021.609
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_5_s1gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_4_s5
Launch Clk 0.591 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FF 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.3900.37421.6090.592 tNET FF 1R39C22[1][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_5_s1/CLEARR39C20[1][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_4_s5/CLEAR

Data Required Path:

@@ -17587,7 +18163,7 @@

Path15

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -17597,8 +18173,8 @@

Path15

tNET RR 1 -R39C22[1][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_5_s1/CLK +R39C20[1][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_4_s5/CLK 40.767 @@ -17606,8 +18182,8 @@

Path15

tSu 1 -R39C22[1][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_5_s1 +R39C20[1][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_4_s5

Path Statistics:

@@ -17630,7 +18206,7 @@

Path15

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.374, 65.131%; tC2Q: 0.200, 34.869% +cell: 0.000, 0.000%; route: 0.592, 74.749%; tC2Q: 0.200, 25.251% Required Clock Path Delay @@ -17642,11 +18218,11 @@

Path16

- + - + @@ -17658,7 +18234,7 @@

Path16

- + @@ -17712,7 +18288,7 @@

Path16

- + @@ -17722,7 +18298,7 @@

Path16

- + @@ -17731,17 +18307,17 @@

Path16

- + - - + + - - + +
Slack19.37619.173
Data Arrival Time21.39021.594
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_11_s1gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_2_s1
Launch Clk 0.591 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FF 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.3900.37421.5940.577 tNET FF 1R39C23[2][B]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_11_s1/CLEARR40C20[0][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_2_s1/CLEAR

Data Required Path:

@@ -17787,7 +18363,7 @@

Path16

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -17797,8 +18373,8 @@

Path16

tNET RR 1 -R39C23[2][B] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_11_s1/CLK +R40C20[0][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_2_s1/CLK 40.767 @@ -17806,8 +18382,8 @@

Path16

tSu 1 -R39C23[2][B] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_11_s1 +R40C20[0][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_2_s1

Path Statistics:

@@ -17830,7 +18406,7 @@

Path16

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.374, 65.131%; tC2Q: 0.200, 34.869% +cell: 0.000, 0.000%; route: 0.577, 74.262%; tC2Q: 0.200, 25.738% Required Clock Path Delay @@ -17842,11 +18418,11 @@

Path17

- + - + @@ -17858,7 +18434,7 @@

Path17

- + @@ -17912,7 +18488,7 @@

Path17

- + @@ -17922,7 +18498,7 @@

Path17

- + @@ -17931,17 +18507,17 @@

Path17

- + - - + + - - + +
Slack19.37619.173
Data Arrival Time21.39021.594
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_12_s1gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_5_s1
Launch Clk 0.591 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FF 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.3900.37421.5940.577 tNET FF 1R39C23[2][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_12_s1/CLEARR40C20[2][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_5_s1/CLEAR

Data Required Path:

@@ -17987,7 +18563,7 @@

Path17

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -17997,8 +18573,8 @@

Path17

tNET RR 1 -R39C23[2][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_12_s1/CLK +R40C20[2][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_5_s1/CLK 40.767 @@ -18006,8 +18582,8 @@

Path17

tSu 1 -R39C23[2][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_12_s1 +R40C20[2][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_5_s1

Path Statistics:

@@ -18030,7 +18606,7 @@

Path17

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.374, 65.131%; tC2Q: 0.200, 34.869% +cell: 0.000, 0.000%; route: 0.577, 74.262%; tC2Q: 0.200, 25.738% Required Clock Path Delay @@ -18042,11 +18618,11 @@

Path18

- + - + @@ -18058,7 +18634,7 @@

Path18

- + @@ -18112,7 +18688,7 @@

Path18

- + @@ -18122,7 +18698,7 @@

Path18

- + @@ -18131,17 +18707,17 @@

Path18

- + - - + + - - + +
Slack19.37619.275
Data Arrival Time21.39021.492
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_wr_s1gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_loop_s1
Launch Clk 0.591 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FF 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.3900.37421.4920.475 tNET FF 1R39C25[2][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_wr_s1/CLEARR40C21[0][B]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_loop_s1/CLEAR

Data Required Path:

@@ -18187,7 +18763,7 @@

Path18

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -18197,8 +18773,8 @@

Path18

tNET RR 1 -R39C25[2][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_wr_s1/CLK +R40C21[0][B] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_loop_s1/CLK 40.767 @@ -18206,8 +18782,8 @@

Path18

tSu 1 -R39C25[2][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_wr_s1 +R40C21[0][B] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_loop_s1

Path Statistics:

@@ -18230,7 +18806,7 @@

Path18

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.374, 65.131%; tC2Q: 0.200, 34.869% +cell: 0.000, 0.000%; route: 0.475, 70.382%; tC2Q: 0.200, 29.618% Required Clock Path Delay @@ -18242,11 +18818,11 @@

Path19

- + - + @@ -18258,7 +18834,7 @@

Path19

- + @@ -18312,7 +18888,7 @@

Path19

- + @@ -18322,7 +18898,7 @@

Path19

- + @@ -18331,17 +18907,17 @@

Path19

- + - - + + - - + +
Slack19.37619.275
Data Arrival Time21.39021.492
Data Required Time
Togw_gao_inst_0/u_ao_top/trigger_seq_start_s1gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_addr_inc_en_s1
Launch Clk 0.591 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FF 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.3900.37421.4920.475 tNET FF 1R39C25[1][B]gw_gao_inst_0/u_ao_top/trigger_seq_start_s1/CLEARR40C21[1][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_addr_inc_en_s1/CLEAR

Data Required Path:

@@ -18387,7 +18963,7 @@

Path19

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -18397,8 +18973,8 @@

Path19

tNET RR 1 -R39C25[1][B] -gw_gao_inst_0/u_ao_top/trigger_seq_start_s1/CLK +R40C21[1][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_addr_inc_en_s1/CLK 40.767 @@ -18406,8 +18982,8 @@

Path19

tSu 1 -R39C25[1][B] -gw_gao_inst_0/u_ao_top/trigger_seq_start_s1 +R40C21[1][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_addr_inc_en_s1

Path Statistics:

@@ -18430,7 +19006,7 @@

Path19

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.374, 65.131%; tC2Q: 0.200, 34.869% +cell: 0.000, 0.000%; route: 0.475, 70.382%; tC2Q: 0.200, 29.618% Required Clock Path Delay @@ -18442,11 +19018,11 @@

Path20

- + - + @@ -18458,7 +19034,7 @@

Path20

- + @@ -18512,7 +19088,7 @@

Path20

- + @@ -18522,7 +19098,7 @@

Path20

- + @@ -18531,17 +19107,17 @@

Path20

- + - - + + - - + +
Slack19.37619.275
Data Arrival Time21.39021.492
Data Required Time
Togw_gao_inst_0/u_ao_top/internal_reg_start_dly_1_s0gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_wr_s1
Launch Clk 0.591 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FF 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.3900.37421.4920.475 tNET FF 1R39C25[0][B]gw_gao_inst_0/u_ao_top/internal_reg_start_dly_1_s0/CLEARR40C21[2][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_wr_s1/CLEAR

Data Required Path:

@@ -18587,7 +19163,7 @@

Path20

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -18597,8 +19173,8 @@

Path20

tNET RR 1 -R39C25[0][B] -gw_gao_inst_0/u_ao_top/internal_reg_start_dly_1_s0/CLK +R40C21[2][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_wr_s1/CLK 40.767 @@ -18606,8 +19182,8 @@

Path20

tSu 1 -R39C25[0][B] -gw_gao_inst_0/u_ao_top/internal_reg_start_dly_1_s0 +R40C21[2][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_wr_s1

Path Statistics:

@@ -18630,7 +19206,7 @@

Path20

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.374, 65.131%; tC2Q: 0.200, 34.869% +cell: 0.000, 0.000%; route: 0.475, 70.382%; tC2Q: 0.200, 29.618% Required Clock Path Delay @@ -18642,11 +19218,11 @@

Path21

- + - + @@ -18658,7 +19234,7 @@

Path21

- + @@ -18712,7 +19288,7 @@

Path21

- + @@ -18722,7 +19298,7 @@

Path21

- + @@ -18731,17 +19307,17 @@

Path21

- + - - + + - - + +
Slack19.38119.280
Data Arrival Time21.38521.487
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_3_s5gw_gao_inst_0/u_ao_top/trigger_seq_start_s1
Launch Clk 0.591 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FF 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.3850.36921.4870.470 tNET FF 1R39C26[0][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_3_s5/CLEARR40C22[1][A]gw_gao_inst_0/u_ao_top/trigger_seq_start_s1/CLEAR

Data Required Path:

@@ -18787,7 +19363,7 @@

Path21

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -18797,8 +19373,8 @@

Path21

tNET RR 1 -R39C26[0][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_3_s5/CLK +R40C22[1][A] +gw_gao_inst_0/u_ao_top/trigger_seq_start_s1/CLK 40.767 @@ -18806,8 +19382,8 @@

Path21

tSu 1 -R39C26[0][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_3_s5 +R40C22[1][A] +gw_gao_inst_0/u_ao_top/trigger_seq_start_s1

Path Statistics:

@@ -18830,7 +19406,7 @@

Path21

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.369, 64.828%; tC2Q: 0.200, 35.172% +cell: 0.000, 0.000%; route: 0.470, 70.163%; tC2Q: 0.200, 29.837% Required Clock Path Delay @@ -18842,11 +19418,11 @@

Path22

- + - + @@ -18858,7 +19434,7 @@

Path22

- + @@ -18912,7 +19488,7 @@

Path22

- + @@ -18922,7 +19498,7 @@

Path22

- + @@ -18931,17 +19507,17 @@

Path22

- + - - + + - - + +
Slack19.38119.280
Data Arrival Time21.38521.487
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_9_s5gw_gao_inst_0/u_ao_top/internal_reg_start_dly_0_s0
Launch Clk 0.591 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FF 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.3850.36921.4870.470 tNET FF 1R39C26[2][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_9_s5/CLEARR40C22[0][A]gw_gao_inst_0/u_ao_top/internal_reg_start_dly_0_s0/CLEAR

Data Required Path:

@@ -18987,7 +19563,7 @@

Path22

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -18997,8 +19573,8 @@

Path22

tNET RR 1 -R39C26[2][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_9_s5/CLK +R40C22[0][A] +gw_gao_inst_0/u_ao_top/internal_reg_start_dly_0_s0/CLK 40.767 @@ -19006,8 +19582,8 @@

Path22

tSu 1 -R39C26[2][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_9_s5 +R40C22[0][A] +gw_gao_inst_0/u_ao_top/internal_reg_start_dly_0_s0

Path Statistics:

@@ -19030,7 +19606,7 @@

Path22

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.369, 64.828%; tC2Q: 0.200, 35.172% +cell: 0.000, 0.000%; route: 0.470, 70.163%; tC2Q: 0.200, 29.837% Required Clock Path Delay @@ -19042,11 +19618,11 @@

Path23

- + - + @@ -19058,7 +19634,7 @@

Path23

- + @@ -19112,7 +19688,7 @@

Path23

- + @@ -19122,7 +19698,7 @@

Path23

- + @@ -19131,17 +19707,17 @@

Path23

- + - - + + - - + +
Slack19.38719.280
Data Arrival Time21.38021.487
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_loop_s1gw_gao_inst_0/u_ao_top/internal_reg_start_dly_1_s0
Launch Clk 0.591 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FF 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.3800.36321.4870.470 tNET FF 1R39C24[0][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_loop_s1/CLEARR40C22[0][B]gw_gao_inst_0/u_ao_top/internal_reg_start_dly_1_s0/CLEAR

Data Required Path:

@@ -19187,7 +19763,7 @@

Path23

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -19197,8 +19773,8 @@

Path23

tNET RR 1 -R39C24[0][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_loop_s1/CLK +R40C22[0][B] +gw_gao_inst_0/u_ao_top/internal_reg_start_dly_1_s0/CLK 40.767 @@ -19206,8 +19782,8 @@

Path23

tSu 1 -R39C24[0][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_loop_s1 +R40C22[0][B] +gw_gao_inst_0/u_ao_top/internal_reg_start_dly_1_s0

Path Statistics:

@@ -19230,7 +19806,7 @@

Path23

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.363, 64.493%; tC2Q: 0.200, 35.507% +cell: 0.000, 0.000%; route: 0.470, 70.163%; tC2Q: 0.200, 29.837% Required Clock Path Delay @@ -19242,11 +19818,11 @@

Path24

- + - + @@ -19258,7 +19834,7 @@

Path24

- + @@ -19312,7 +19888,7 @@

Path24

- + @@ -19322,7 +19898,7 @@

Path24

- + @@ -19331,17 +19907,17 @@

Path24

- + - - + + - - + +
Slack19.38719.389
Data Arrival Time21.38021.378
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_2_s1gw_gao_inst_0/u_ao_top/internal_reg_start_syn_0_s0
Launch Clk 0.591 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FF 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.3800.36321.3780.361 tNET FF 1R39C24[1][B]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_2_s1/CLEARR44C19[1][A]gw_gao_inst_0/u_ao_top/internal_reg_start_syn_0_s0/CLEAR

Data Required Path:

@@ -19387,7 +19963,7 @@

Path24

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -19397,8 +19973,8 @@

Path24

tNET RR 1 -R39C24[1][B] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_2_s1/CLK +R44C19[1][A] +gw_gao_inst_0/u_ao_top/internal_reg_start_syn_0_s0/CLK 40.767 @@ -19406,8 +19982,8 @@

Path24

tSu 1 -R39C24[1][B] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_2_s1 +R44C19[1][A] +gw_gao_inst_0/u_ao_top/internal_reg_start_syn_0_s0

Path Statistics:

@@ -19430,7 +20006,7 @@

Path24

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.363, 64.493%; tC2Q: 0.200, 35.507% +cell: 0.000, 0.000%; route: 0.361, 64.352%; tC2Q: 0.200, 35.648% Required Clock Path Delay @@ -19442,11 +20018,11 @@

Path25

- + - + @@ -19458,7 +20034,7 @@

Path25

- + @@ -19512,7 +20088,7 @@

Path25

- + @@ -19522,7 +20098,7 @@

Path25

- + @@ -19531,17 +20107,17 @@

Path25

- + - - + + - - + +
Slack19.38719.389
Data Arrival Time21.38021.378
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_8_s1gw_gao_inst_0/u_ao_top/internal_reg_start_syn_1_s0
Launch Clk 0.591 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FF 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.3800.36321.3780.361 tNET FF 1R39C24[0][B]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_8_s1/CLEARR44C19[1][B]gw_gao_inst_0/u_ao_top/internal_reg_start_syn_1_s0/CLEAR

Data Required Path:

@@ -19587,7 +20163,7 @@

Path25

0.587 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -19597,8 +20173,8 @@

Path25

tNET RR 1 -R39C24[0][B] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_8_s1/CLK +R44C19[1][B] +gw_gao_inst_0/u_ao_top/internal_reg_start_syn_1_s0/CLK 40.767 @@ -19606,8 +20182,8 @@

Path25

tSu 1 -R39C24[0][B] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_8_s1 +R44C19[1][B] +gw_gao_inst_0/u_ao_top/internal_reg_start_syn_1_s0

Path Statistics:

@@ -19630,7 +20206,7 @@

Path25

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.363, 64.493%; tC2Q: 0.200, 35.507% +cell: 0.000, 0.000%; route: 0.361, 64.352%; tC2Q: 0.200, 35.648% Required Clock Path Delay @@ -19644,11 +20220,11 @@

Path1

- + - + @@ -19714,7 +20290,7 @@

Path1

- + @@ -19724,7 +20300,7 @@

Path1

- + @@ -19733,16 +20309,16 @@

Path1

- + - - + + - +
Slack-0.538-0.543
Data Arrival Time101.156101.151
Data Required Time 0.583 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FR 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
101.1560.231101.1510.226 tNET RR 1R41C26[1][A]R44C21[0][A] gw_gao_inst_0/u_ao_top/capture_end_tck_0_s0/CLEAR
@@ -19817,7 +20393,7 @@

Path1

tNET RR 1 -R41C26[1][A] +R44C21[0][A] gw_gao_inst_0/u_ao_top/capture_end_tck_0_s0/CLK @@ -19835,7 +20411,7 @@

Path1

tHld 1 -R41C26[1][A] +R44C21[0][A] gw_gao_inst_0/u_ao_top/capture_end_tck_0_s0 @@ -19859,7 +20435,7 @@

Path1

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.231, 57.042%; tC2Q: 0.174, 42.958% +cell: 0.000, 0.000%; route: 0.226, 56.533%; tC2Q: 0.174, 43.467% Required Clock Path Delay @@ -19871,11 +20447,11 @@

Path2

- + - + @@ -19941,7 +20517,7 @@

Path2

- + @@ -19951,7 +20527,7 @@

Path2

- + @@ -19960,16 +20536,16 @@

Path2

- + - - + + - +
Slack-0.538-0.543
Data Arrival Time101.156101.151
Data Required Time 0.583 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FR 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
101.1560.231101.1510.226 tNET RR 1R41C26[2][A]R44C21[2][A] gw_gao_inst_0/u_ao_top/capture_end_tck_1_s0/CLEAR
@@ -20044,7 +20620,7 @@

Path2

tNET RR 1 -R41C26[2][A] +R44C21[2][A] gw_gao_inst_0/u_ao_top/capture_end_tck_1_s0/CLK @@ -20062,7 +20638,7 @@

Path2

tHld 1 -R41C26[2][A] +R44C21[2][A] gw_gao_inst_0/u_ao_top/capture_end_tck_1_s0 @@ -20086,7 +20662,7 @@

Path2

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.231, 57.042%; tC2Q: 0.174, 42.958% +cell: 0.000, 0.000%; route: 0.226, 56.533%; tC2Q: 0.174, 43.467% Required Clock Path Delay @@ -20098,11 +20674,11 @@

Path3

- + - + @@ -20168,7 +20744,7 @@

Path3

- + @@ -20178,7 +20754,7 @@

Path3

- + @@ -20187,16 +20763,16 @@

Path3

- + - - + + - +
Slack-0.538-0.543
Data Arrival Time101.156101.151
Data Required Time 0.583 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FR 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
101.1560.231101.1510.226 tNET RR 1R41C26[1][B]R44C21[0][B] gw_gao_inst_0/u_ao_top/capture_end_tck_2_s0/CLEAR
@@ -20271,7 +20847,7 @@

Path3

tNET RR 1 -R41C26[1][B] +R44C21[0][B] gw_gao_inst_0/u_ao_top/capture_end_tck_2_s0/CLK @@ -20289,7 +20865,7 @@

Path3

tHld 1 -R41C26[1][B] +R44C21[0][B] gw_gao_inst_0/u_ao_top/capture_end_tck_2_s0 @@ -20313,7 +20889,7 @@

Path3

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.231, 57.042%; tC2Q: 0.174, 42.958% +cell: 0.000, 0.000%; route: 0.226, 56.533%; tC2Q: 0.174, 43.467% Required Clock Path Delay @@ -20325,11 +20901,11 @@

Path4

- + - + @@ -20341,7 +20917,7 @@

Path4

- + @@ -20395,7 +20971,7 @@

Path4

- + @@ -20405,7 +20981,7 @@

Path4

- + @@ -20414,17 +20990,17 @@

Path4

- + - - + + - - + +
Slack20.40220.292
Data Arrival Time21.15121.041
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_loop_s1gw_gao_inst_0/u_ao_top/capture_start_sel_s1
Launch Clk 0.583 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FR 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.1510.22721.0410.117 tNET RR 1R39C24[0][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_loop_s1/CLEARR44C20[2][A]gw_gao_inst_0/u_ao_top/capture_start_sel_s1/CLEAR

Data Required Path:

@@ -20470,7 +21046,7 @@

Path4

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -20480,8 +21056,8 @@

Path4

tNET RR 1 -R39C24[0][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_loop_s1/CLK +R44C20[2][A] +gw_gao_inst_0/u_ao_top/capture_start_sel_s1/CLK 0.749 @@ -20489,8 +21065,8 @@

Path4

tHld 1 -R39C24[0][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_loop_s1 +R44C20[2][A] +gw_gao_inst_0/u_ao_top/capture_start_sel_s1

Path Statistics:

@@ -20513,7 +21089,7 @@

Path4

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.227, 56.540%; tC2Q: 0.174, 43.460% +cell: 0.000, 0.000%; route: 0.117, 40.192%; tC2Q: 0.174, 59.808% Required Clock Path Delay @@ -20525,11 +21101,11 @@

Path5

- + - + @@ -20541,7 +21117,7 @@

Path5

- + @@ -20595,7 +21171,7 @@

Path5

- + @@ -20605,7 +21181,7 @@

Path5

- + @@ -20614,17 +21190,17 @@

Path5

- + - - + + - - + +
Slack20.40220.397
Data Arrival Time21.15121.147
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_2_s1gw_gao_inst_0/u_ao_top/internal_reg_start_syn_0_s0
Launch Clk 0.583 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FR 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.1510.22721.1470.222 tNET RR 1R39C24[1][B]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_2_s1/CLEARR44C19[1][A]gw_gao_inst_0/u_ao_top/internal_reg_start_syn_0_s0/CLEAR

Data Required Path:

@@ -20670,7 +21246,7 @@

Path5

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -20680,8 +21256,8 @@

Path5

tNET RR 1 -R39C24[1][B] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_2_s1/CLK +R44C19[1][A] +gw_gao_inst_0/u_ao_top/internal_reg_start_syn_0_s0/CLK 0.749 @@ -20689,8 +21265,8 @@

Path5

tHld 1 -R39C24[1][B] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_2_s1 +R44C19[1][A] +gw_gao_inst_0/u_ao_top/internal_reg_start_syn_0_s0

Path Statistics:

@@ -20713,7 +21289,7 @@

Path5

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.227, 56.540%; tC2Q: 0.174, 43.460% +cell: 0.000, 0.000%; route: 0.222, 56.063%; tC2Q: 0.174, 43.937% Required Clock Path Delay @@ -20725,11 +21301,11 @@

Path6

- + - + @@ -20741,7 +21317,7 @@

Path6

- + @@ -20795,7 +21371,7 @@

Path6

- + @@ -20805,7 +21381,7 @@

Path6

- + @@ -20814,17 +21390,17 @@

Path6

- + - - + + - - + +
Slack20.40220.397
Data Arrival Time21.15121.147
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_8_s1gw_gao_inst_0/u_ao_top/internal_reg_start_syn_1_s0
Launch Clk 0.583 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FR 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.1510.22721.1470.222 tNET RR 1R39C24[0][B]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_8_s1/CLEARR44C19[1][B]gw_gao_inst_0/u_ao_top/internal_reg_start_syn_1_s0/CLEAR

Data Required Path:

@@ -20870,7 +21446,7 @@

Path6

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -20880,8 +21456,8 @@

Path6

tNET RR 1 -R39C24[0][B] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_8_s1/CLK +R44C19[1][B] +gw_gao_inst_0/u_ao_top/internal_reg_start_syn_1_s0/CLK 0.749 @@ -20889,8 +21465,8 @@

Path6

tHld 1 -R39C24[0][B] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_8_s1 +R44C19[1][B] +gw_gao_inst_0/u_ao_top/internal_reg_start_syn_1_s0

Path Statistics:

@@ -20913,7 +21489,7 @@

Path6

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.227, 56.540%; tC2Q: 0.174, 43.460% +cell: 0.000, 0.000%; route: 0.222, 56.063%; tC2Q: 0.174, 43.937% Required Clock Path Delay @@ -20925,11 +21501,11 @@

Path7

- + - + @@ -20941,7 +21517,7 @@

Path7

- + @@ -20995,7 +21571,7 @@

Path7

- + @@ -21005,7 +21581,7 @@

Path7

- + @@ -21014,17 +21590,17 @@

Path7

- + - - + + - - + +
Slack20.40220.420
Data Arrival Time21.15121.169
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_addr_inc_en_s1gw_gao_inst_0/u_ao_top/trigger_seq_start_s1
Launch Clk 0.583 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FR 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.1510.22721.1690.245 tNET RR 1R39C24[2][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_addr_inc_en_s1/CLEARR40C22[1][A]gw_gao_inst_0/u_ao_top/trigger_seq_start_s1/CLEAR

Data Required Path:

@@ -21070,7 +21646,7 @@

Path7

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -21080,8 +21656,8 @@

Path7

tNET RR 1 -R39C24[2][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_addr_inc_en_s1/CLK +R40C22[1][A] +gw_gao_inst_0/u_ao_top/trigger_seq_start_s1/CLK 0.749 @@ -21089,8 +21665,8 @@

Path7

tHld 1 -R39C24[2][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_addr_inc_en_s1 +R40C22[1][A] +gw_gao_inst_0/u_ao_top/trigger_seq_start_s1

Path Statistics:

@@ -21113,7 +21689,7 @@

Path7

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.227, 56.540%; tC2Q: 0.174, 43.460% +cell: 0.000, 0.000%; route: 0.245, 58.439%; tC2Q: 0.174, 41.561% Required Clock Path Delay @@ -21125,11 +21701,11 @@

Path8

- + - + @@ -21141,7 +21717,7 @@

Path8

- + @@ -21195,7 +21771,7 @@

Path8

- + @@ -21205,7 +21781,7 @@

Path8

- + @@ -21214,17 +21790,17 @@

Path8

- + - - + + - - + +
Slack20.40520.420
Data Arrival Time21.15521.169
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_3_s5gw_gao_inst_0/u_ao_top/internal_reg_start_dly_0_s0
Launch Clk 0.583 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FR 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.1550.23021.1690.245 tNET RR 1R39C26[0][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_3_s5/CLEARR40C22[0][A]gw_gao_inst_0/u_ao_top/internal_reg_start_dly_0_s0/CLEAR

Data Required Path:

@@ -21270,7 +21846,7 @@

Path8

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -21280,8 +21856,8 @@

Path8

tNET RR 1 -R39C26[0][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_3_s5/CLK +R40C22[0][A] +gw_gao_inst_0/u_ao_top/internal_reg_start_dly_0_s0/CLK 0.749 @@ -21289,8 +21865,8 @@

Path8

tHld 1 -R39C26[0][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_3_s5 +R40C22[0][A] +gw_gao_inst_0/u_ao_top/internal_reg_start_dly_0_s0

Path Statistics:

@@ -21313,7 +21889,7 @@

Path8

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.230, 56.935%; tC2Q: 0.174, 43.065% +cell: 0.000, 0.000%; route: 0.245, 58.439%; tC2Q: 0.174, 41.561% Required Clock Path Delay @@ -21325,11 +21901,11 @@

Path9

- + - + @@ -21341,7 +21917,7 @@

Path9

- + @@ -21395,7 +21971,7 @@

Path9

- + @@ -21405,7 +21981,7 @@

Path9

- + @@ -21414,17 +21990,17 @@

Path9

- + - - + + - - + +
Slack20.40520.420
Data Arrival Time21.15521.169
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_9_s5gw_gao_inst_0/u_ao_top/internal_reg_start_dly_1_s0
Launch Clk 0.583 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FR 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.1550.23021.1690.245 tNET RR 1R39C26[2][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_9_s5/CLEARR40C22[0][B]gw_gao_inst_0/u_ao_top/internal_reg_start_dly_1_s0/CLEAR

Data Required Path:

@@ -21470,7 +22046,7 @@

Path9

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -21480,8 +22056,8 @@

Path9

tNET RR 1 -R39C26[2][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_9_s5/CLK +R40C22[0][B] +gw_gao_inst_0/u_ao_top/internal_reg_start_dly_1_s0/CLK 0.749 @@ -21489,8 +22065,8 @@

Path9

tHld 1 -R39C26[2][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_9_s5 +R40C22[0][B] +gw_gao_inst_0/u_ao_top/internal_reg_start_dly_1_s0

Path Statistics:

@@ -21513,7 +22089,7 @@

Path9

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.230, 56.935%; tC2Q: 0.174, 43.065% +cell: 0.000, 0.000%; route: 0.245, 58.439%; tC2Q: 0.174, 41.561% Required Clock Path Delay @@ -21525,11 +22101,11 @@

Path10

- + - + @@ -21541,7 +22117,7 @@

Path10

- + @@ -21595,7 +22171,7 @@

Path10

- + @@ -21605,7 +22181,7 @@

Path10

- + @@ -21614,17 +22190,17 @@

Path10

- + - - + + - - + +
Slack20.40820.423
Data Arrival Time21.15721.172
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_0_s5gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_loop_s1
Launch Clk 0.583 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FR 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.1570.23321.1720.247 tNET RR 1R39C22[2][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_0_s5/CLEARR40C21[0][B]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_loop_s1/CLEAR

Data Required Path:

@@ -21670,7 +22246,7 @@

Path10

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -21680,8 +22256,8 @@

Path10

tNET RR 1 -R39C22[2][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_0_s5/CLK +R40C21[0][B] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_loop_s1/CLK 0.749 @@ -21689,8 +22265,8 @@

Path10

tHld 1 -R39C22[2][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_0_s5 +R40C21[0][B] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_loop_s1

Path Statistics:

@@ -21713,7 +22289,7 @@

Path10

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.233, 57.208%; tC2Q: 0.174, 42.792% +cell: 0.000, 0.000%; route: 0.247, 58.694%; tC2Q: 0.174, 41.306% Required Clock Path Delay @@ -21725,11 +22301,11 @@

Path11

- + - + @@ -21741,7 +22317,7 @@

Path11

- + @@ -21795,7 +22371,7 @@

Path11

- + @@ -21805,7 +22381,7 @@

Path11

- + @@ -21814,17 +22390,17 @@

Path11

- + - - + + - - + +
Slack20.40820.423
Data Arrival Time21.15721.172
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_1_s5gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_addr_inc_en_s1
Launch Clk 0.583 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FR 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.1570.23321.1720.247 tNET RR 1R39C23[1][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_1_s5/CLEARR40C21[1][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_addr_inc_en_s1/CLEAR

Data Required Path:

@@ -21870,7 +22446,7 @@

Path11

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -21880,8 +22456,8 @@

Path11

tNET RR 1 -R39C23[1][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_1_s5/CLK +R40C21[1][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_addr_inc_en_s1/CLK 0.749 @@ -21889,8 +22465,8 @@

Path11

tHld 1 -R39C23[1][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_1_s5 +R40C21[1][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/mem_addr_inc_en_s1

Path Statistics:

@@ -21913,7 +22489,7 @@

Path11

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.233, 57.208%; tC2Q: 0.174, 42.792% +cell: 0.000, 0.000%; route: 0.247, 58.694%; tC2Q: 0.174, 41.306% Required Clock Path Delay @@ -21925,11 +22501,11 @@

Path12

- + - + @@ -21941,7 +22517,7 @@

Path12

- + @@ -21995,7 +22571,7 @@

Path12

- + @@ -22005,7 +22581,7 @@

Path12

- + @@ -22014,17 +22590,17 @@

Path12

- + - - + + - - + +
Slack20.40820.423
Data Arrival Time21.15721.172
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_4_s5gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_wr_s1
Launch Clk 0.583 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FR 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.1570.23321.1720.247 tNET RR 1R39C23[1][B]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_4_s5/CLEARR40C21[2][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_wr_s1/CLEAR

Data Required Path:

@@ -22070,7 +22646,7 @@

Path12

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -22080,8 +22656,8 @@

Path12

tNET RR 1 -R39C23[1][B] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_4_s5/CLK +R40C21[2][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_wr_s1/CLK 0.749 @@ -22089,8 +22665,8 @@

Path12

tHld 1 -R39C23[1][B] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_4_s5 +R40C21[2][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_wr_s1

Path Statistics:

@@ -22113,7 +22689,7 @@

Path12

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.233, 57.208%; tC2Q: 0.174, 42.792% +cell: 0.000, 0.000%; route: 0.247, 58.694%; tC2Q: 0.174, 41.306% Required Clock Path Delay @@ -22125,11 +22701,11 @@

Path13

- + - + @@ -22141,7 +22717,7 @@

Path13

- + @@ -22195,7 +22771,7 @@

Path13

- + @@ -22205,7 +22781,7 @@

Path13

- + @@ -22214,17 +22790,17 @@

Path13

- + - - + + - - + +
Slack20.40820.507
Data Arrival Time21.15721.256
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_6_s5gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_2_s1
Launch Clk 0.583 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FR 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.1570.23321.2560.332 tNET RR 1R39C22[0][B]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_6_s5/CLEARR40C20[0][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_2_s1/CLEAR

Data Required Path:

@@ -22270,7 +22846,7 @@

Path13

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -22280,8 +22856,8 @@

Path13

tNET RR 1 -R39C22[0][B] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_6_s5/CLK +R40C20[0][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_2_s1/CLK 0.749 @@ -22289,8 +22865,8 @@

Path13

tHld 1 -R39C22[0][B] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_6_s5 +R40C20[0][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_2_s1

Path Statistics:

@@ -22313,7 +22889,7 @@

Path13

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.233, 57.208%; tC2Q: 0.174, 42.792% +cell: 0.000, 0.000%; route: 0.332, 65.571%; tC2Q: 0.174, 34.429% Required Clock Path Delay @@ -22325,11 +22901,11 @@

Path14

- + - + @@ -22341,7 +22917,7 @@

Path14

- + @@ -22395,7 +22971,7 @@

Path14

- + @@ -22405,7 +22981,7 @@

Path14

- + @@ -22414,17 +22990,17 @@

Path14

- + - - + + - - + +
Slack20.40820.507
Data Arrival Time21.15721.256
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_7_s5gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_5_s1
Launch Clk 0.583 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FR 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.1570.23321.2560.332 tNET RR 1R39C25[0][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_7_s5/CLEARR40C20[2][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_5_s1/CLEAR

Data Required Path:

@@ -22470,7 +23046,7 @@

Path14

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -22480,8 +23056,8 @@

Path14

tNET RR 1 -R39C25[0][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_7_s5/CLK +R40C20[2][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_5_s1/CLK 0.749 @@ -22489,8 +23065,8 @@

Path14

tHld 1 -R39C25[0][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_7_s5 +R40C20[2][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_5_s1

Path Statistics:

@@ -22513,7 +23089,7 @@

Path14

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.233, 57.208%; tC2Q: 0.174, 42.792% +cell: 0.000, 0.000%; route: 0.332, 65.571%; tC2Q: 0.174, 34.429% Required Clock Path Delay @@ -22525,11 +23101,11 @@

Path15

- + - + @@ -22541,7 +23117,7 @@

Path15

- + @@ -22595,7 +23171,7 @@

Path15

- + @@ -22605,7 +23181,7 @@

Path15

- + @@ -22614,17 +23190,17 @@

Path15

- + - - + + - - + +
Slack20.40820.513
Data Arrival Time21.15721.262
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_10_s5gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_4_s5
Launch Clk 0.583 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FR 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.1570.23321.2620.338 tNET RR 1R39C23[0][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_10_s5/CLEARR39C20[1][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_4_s5/CLEAR

Data Required Path:

@@ -22670,7 +23246,7 @@

Path15

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -22680,8 +23256,8 @@

Path15

tNET RR 1 -R39C23[0][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_10_s5/CLK +R39C20[1][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_4_s5/CLK 0.749 @@ -22689,8 +23265,8 @@

Path15

tHld 1 -R39C23[0][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_10_s5 +R39C20[1][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_4_s5

Path Statistics:

@@ -22713,7 +23289,7 @@

Path15

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.233, 57.208%; tC2Q: 0.174, 42.792% +cell: 0.000, 0.000%; route: 0.338, 66.000%; tC2Q: 0.174, 34.000% Required Clock Path Delay @@ -22725,11 +23301,11 @@

Path16

- + - + @@ -22741,7 +23317,7 @@

Path16

- + @@ -22795,7 +23371,7 @@

Path16

- + @@ -22805,7 +23381,7 @@

Path16

- + @@ -22814,17 +23390,17 @@

Path16

- + - - + + - - + +
Slack20.40820.538
Data Arrival Time21.15721.288
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_5_s1gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_7_s5
Launch Clk 0.583 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FR 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.1570.23321.2880.363 tNET RR 1R39C22[1][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_5_s1/CLEARR39C22[0][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_7_s5/CLEAR

Data Required Path:

@@ -22870,7 +23446,7 @@

Path16

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -22880,8 +23456,8 @@

Path16

tNET RR 1 -R39C22[1][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_5_s1/CLK +R39C22[0][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_7_s5/CLK 0.749 @@ -22889,8 +23465,8 @@

Path16

tHld 1 -R39C22[1][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_5_s1 +R39C22[0][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_7_s5

Path Statistics:

@@ -22913,7 +23489,7 @@

Path16

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.233, 57.208%; tC2Q: 0.174, 42.792% +cell: 0.000, 0.000%; route: 0.363, 67.587%; tC2Q: 0.174, 32.413% Required Clock Path Delay @@ -22925,11 +23501,11 @@

Path17

- + - + @@ -22941,7 +23517,7 @@

Path17

- + @@ -22995,7 +23571,7 @@

Path17

- + @@ -23005,7 +23581,7 @@

Path17

- + @@ -23014,17 +23590,17 @@

Path17

- + - - + + - - + +
Slack20.40820.538
Data Arrival Time21.15721.288
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_11_s1gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_9_s5
Launch Clk 0.583 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FR 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.1570.23321.2880.363 tNET RR 1R39C23[2][B]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_11_s1/CLEARR39C22[1][B]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_9_s5/CLEAR

Data Required Path:

@@ -23070,7 +23646,7 @@

Path17

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -23080,8 +23656,8 @@

Path17

tNET RR 1 -R39C23[2][B] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_11_s1/CLK +R39C22[1][B] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_9_s5/CLK 0.749 @@ -23089,8 +23665,8 @@

Path17

tHld 1 -R39C23[2][B] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_11_s1 +R39C22[1][B] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_9_s5

Path Statistics:

@@ -23113,7 +23689,7 @@

Path17

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.233, 57.208%; tC2Q: 0.174, 42.792% +cell: 0.000, 0.000%; route: 0.363, 67.587%; tC2Q: 0.174, 32.413% Required Clock Path Delay @@ -23125,11 +23701,11 @@

Path18

- + - + @@ -23141,7 +23717,7 @@

Path18

- + @@ -23195,7 +23771,7 @@

Path18

- + @@ -23205,7 +23781,7 @@

Path18

- + @@ -23214,17 +23790,17 @@

Path18

- + - - + + - - + +
Slack20.40820.538
Data Arrival Time21.15721.288
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_12_s1gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_10_s5
Launch Clk 0.583 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FR 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.1570.23321.2880.363 tNET RR 1R39C23[2][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_12_s1/CLEARR39C22[0][B]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_10_s5/CLEAR

Data Required Path:

@@ -23270,7 +23846,7 @@

Path18

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -23280,8 +23856,8 @@

Path18

tNET RR 1 -R39C23[2][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_12_s1/CLK +R39C22[0][B] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_10_s5/CLK 0.749 @@ -23289,8 +23865,8 @@

Path18

tHld 1 -R39C23[2][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_12_s1 +R39C22[0][B] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_10_s5

Path Statistics:

@@ -23313,7 +23889,7 @@

Path18

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.233, 57.208%; tC2Q: 0.174, 42.792% +cell: 0.000, 0.000%; route: 0.363, 67.587%; tC2Q: 0.174, 32.413% Required Clock Path Delay @@ -23325,11 +23901,11 @@

Path19

- + - + @@ -23341,7 +23917,7 @@

Path19

- + @@ -23395,7 +23971,7 @@

Path19

- + @@ -23405,7 +23981,7 @@

Path19

- + @@ -23414,17 +23990,17 @@

Path19

- + - - + + - - + +
Slack20.40820.541
Data Arrival Time21.15721.290
Data Required Time
Togw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_wr_s1gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_6_s5
Launch Clk 0.583 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FR 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.1570.23321.2900.366 tNET RR 1R39C25[2][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_wr_s1/CLEARR39C21[2][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_6_s5/CLEAR

Data Required Path:

@@ -23470,7 +24046,7 @@

Path19

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -23480,8 +24056,8 @@

Path19

tNET RR 1 -R39C25[2][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_wr_s1/CLK +R39C21[2][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_6_s5/CLK 0.749 @@ -23489,8 +24065,8 @@

Path19

tHld 1 -R39C25[2][A] -gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_wr_s1 +R39C21[2][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_6_s5

Path Statistics:

@@ -23513,7 +24089,7 @@

Path19

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.233, 57.208%; tC2Q: 0.174, 42.792% +cell: 0.000, 0.000%; route: 0.366, 67.742%; tC2Q: 0.174, 32.258% Required Clock Path Delay @@ -23525,11 +24101,11 @@

Path20

- + - + @@ -23541,7 +24117,7 @@

Path20

- + @@ -23595,7 +24171,7 @@

Path20

- + @@ -23605,7 +24181,7 @@

Path20

- + @@ -23614,17 +24190,17 @@

Path20

- + - - + + - - + +
Slack20.40820.541
Data Arrival Time21.15721.290
Data Required Time
Togw_gao_inst_0/u_ao_top/trigger_seq_start_s1gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_3_s1
Launch Clk 0.583 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FR 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.1570.23321.2900.366 tNET RR 1R39C25[1][B]gw_gao_inst_0/u_ao_top/trigger_seq_start_s1/CLEARR39C21[1][B]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_3_s1/CLEAR

Data Required Path:

@@ -23670,7 +24246,7 @@

Path20

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -23680,8 +24256,8 @@

Path20

tNET RR 1 -R39C25[1][B] -gw_gao_inst_0/u_ao_top/trigger_seq_start_s1/CLK +R39C21[1][B] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_3_s1/CLK 0.749 @@ -23689,8 +24265,8 @@

Path20

tHld 1 -R39C25[1][B] -gw_gao_inst_0/u_ao_top/trigger_seq_start_s1 +R39C21[1][B] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_3_s1

Path Statistics:

@@ -23713,7 +24289,7 @@

Path20

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.233, 57.208%; tC2Q: 0.174, 42.792% +cell: 0.000, 0.000%; route: 0.366, 67.742%; tC2Q: 0.174, 32.258% Required Clock Path Delay @@ -23725,11 +24301,11 @@

Path21

- + - + @@ -23741,7 +24317,7 @@

Path21

- + @@ -23795,7 +24371,7 @@

Path21

- + @@ -23805,7 +24381,7 @@

Path21

- + @@ -23814,17 +24390,17 @@

Path21

- + - - + + - - + +
Slack20.40820.541
Data Arrival Time21.15721.290
Data Required Time
Togw_gao_inst_0/u_ao_top/internal_reg_start_dly_1_s0gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_8_s1
Launch Clk 0.583 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FR 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.1570.23321.2900.366 tNET RR 1R39C25[0][B]gw_gao_inst_0/u_ao_top/internal_reg_start_dly_1_s0/CLEARR39C21[0][B]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_8_s1/CLEAR

Data Required Path:

@@ -23870,7 +24446,7 @@

Path21

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -23880,8 +24456,8 @@

Path21

tNET RR 1 -R39C25[0][B] -gw_gao_inst_0/u_ao_top/internal_reg_start_dly_1_s0/CLK +R39C21[0][B] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_8_s1/CLK 0.749 @@ -23889,8 +24465,8 @@

Path21

tHld 1 -R39C25[0][B] -gw_gao_inst_0/u_ao_top/internal_reg_start_dly_1_s0 +R39C21[0][B] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_8_s1

Path Statistics:

@@ -23913,7 +24489,7 @@

Path21

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.233, 57.208%; tC2Q: 0.174, 42.792% +cell: 0.000, 0.000%; route: 0.366, 67.742%; tC2Q: 0.174, 32.258% Required Clock Path Delay @@ -23925,11 +24501,11 @@

Path22

- + - + @@ -23941,7 +24517,7 @@

Path22

- + @@ -23995,7 +24571,7 @@

Path22

- + @@ -24005,7 +24581,7 @@

Path22

- + @@ -24014,17 +24590,17 @@

Path22

- + - - + + - - + +
Slack20.61320.541
Data Arrival Time21.36221.290
Data Required Time
Togw_gao_inst_0/u_ao_top/internal_reg_start_syn_0_s0gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_11_s1
Launch Clk 0.583 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FR 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.3620.43821.2900.366 tNET RR 1R43C27[0][B]gw_gao_inst_0/u_ao_top/internal_reg_start_syn_0_s0/CLEARR39C21[0][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_11_s1/CLEAR

Data Required Path:

@@ -24070,7 +24646,7 @@

Path22

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -24080,8 +24656,8 @@

Path22

tNET RR 1 -R43C27[0][B] -gw_gao_inst_0/u_ao_top/internal_reg_start_syn_0_s0/CLK +R39C21[0][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_11_s1/CLK 0.749 @@ -24089,8 +24665,8 @@

Path22

tHld 1 -R43C27[0][B] -gw_gao_inst_0/u_ao_top/internal_reg_start_syn_0_s0 +R39C21[0][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_11_s1

Path Statistics:

@@ -24113,7 +24689,7 @@

Path22

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.438, 71.552%; tC2Q: 0.174, 28.448% +cell: 0.000, 0.000%; route: 0.366, 67.742%; tC2Q: 0.174, 32.258% Required Clock Path Delay @@ -24125,11 +24701,11 @@

Path23

- + - + @@ -24141,7 +24717,7 @@

Path23

- + @@ -24195,7 +24771,7 @@

Path23

- + @@ -24205,7 +24781,7 @@

Path23

- + @@ -24214,17 +24790,17 @@

Path23

- + - - + + - - + +
Slack20.61320.546
Data Arrival Time21.36221.295
Data Required Time
Togw_gao_inst_0/u_ao_top/internal_reg_start_syn_1_s0gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_0_s5
Launch Clk 0.583 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FR 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.3620.43821.2950.371 tNET RR 1R43C27[0][A]gw_gao_inst_0/u_ao_top/internal_reg_start_syn_1_s0/CLEARR40C25[1][B]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_0_s5/CLEAR

Data Required Path:

@@ -24270,7 +24846,7 @@

Path23

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -24280,8 +24856,8 @@

Path23

tNET RR 1 -R43C27[0][A] -gw_gao_inst_0/u_ao_top/internal_reg_start_syn_1_s0/CLK +R40C25[1][B] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_0_s5/CLK 0.749 @@ -24289,8 +24865,8 @@

Path23

tHld 1 -R43C27[0][A] -gw_gao_inst_0/u_ao_top/internal_reg_start_syn_1_s0 +R40C25[1][B] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_0_s5

Path Statistics:

@@ -24313,7 +24889,7 @@

Path23

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.438, 71.552%; tC2Q: 0.174, 28.448% +cell: 0.000, 0.000%; route: 0.371, 68.052%; tC2Q: 0.174, 31.948% Required Clock Path Delay @@ -24325,11 +24901,11 @@

Path24

- + - + @@ -24341,7 +24917,7 @@

Path24

- + @@ -24395,7 +24971,7 @@

Path24

- + @@ -24405,7 +24981,7 @@

Path24

- + @@ -24414,17 +24990,17 @@

Path24

- + - - + + - - + +
Slack20.62220.546
Data Arrival Time21.37121.295
Data Required Time
Togw_gao_inst_0/u_ao_top/capture_start_sel_s1gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_12_s6
Launch Clk 0.583 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FR 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.3710.44721.2950.371 tNET RR 1R43C26[2][A]gw_gao_inst_0/u_ao_top/capture_start_sel_s1/CLEARR40C25[1][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_12_s6/CLEAR

Data Required Path:

@@ -24470,7 +25046,7 @@

Path24

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -24480,8 +25056,8 @@

Path24

tNET RR 1 -R43C26[2][A] -gw_gao_inst_0/u_ao_top/capture_start_sel_s1/CLK +R40C25[1][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_12_s6/CLK 0.749 @@ -24489,8 +25065,8 @@

Path24

tHld 1 -R43C26[2][A] -gw_gao_inst_0/u_ao_top/capture_start_sel_s1 +R40C25[1][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_12_s6

Path Statistics:

@@ -24513,7 +25089,7 @@

Path24

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.447, 71.951%; tC2Q: 0.174, 28.049% +cell: 0.000, 0.000%; route: 0.371, 68.052%; tC2Q: 0.174, 31.948% Required Clock Path Delay @@ -24525,11 +25101,11 @@

Path25

- + - + @@ -24541,7 +25117,7 @@

Path25

- + @@ -24595,7 +25171,7 @@

Path25

- + @@ -24605,7 +25181,7 @@

Path25

- + @@ -24614,17 +25190,17 @@

Path25

- + - - + + - - + +
Slack20.62220.650
Data Arrival Time21.37121.399
Data Required Time
Togw_gao_inst_0/u_ao_top/capture_end_dly_s0gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_1_s5
Launch Clk 0.583 tINS FF207277 IOL29[A] clk_ibuf/O
tNET FF 1R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/CLK
tC2Q FR 26R40C24[1][B]R44C20[1][A] gw_gao_inst_0/u_ao_top/rst_ao_s0/Q
21.3710.44721.3990.474 tNET RR 1R43C26[0][B]gw_gao_inst_0/u_ao_top/capture_end_dly_s0/PRESETR39C19[1][A]gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_1_s5/CLEAR

Data Required Path:

@@ -24670,7 +25246,7 @@

Path25

0.581 tINS RR -207 +277 IOL29[A] clk_ibuf/O @@ -24680,8 +25256,8 @@

Path25

tNET RR 1 -R43C26[0][B] -gw_gao_inst_0/u_ao_top/capture_end_dly_s0/CLK +R39C19[1][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_1_s5/CLK 0.749 @@ -24689,8 +25265,8 @@

Path25

tHld 1 -R43C26[0][B] -gw_gao_inst_0/u_ao_top/capture_end_dly_s0 +R39C19[1][A] +gw_gao_inst_0/u_ao_top/u_ao_mem_ctrl/capture_mem_addr_1_s5

Path Statistics:

@@ -24713,7 +25289,7 @@

Path25

Arrival Data Path Delay -cell: 0.000, 0.000%; route: 0.447, 71.951%; tC2Q: 0.174, 28.049% +cell: 0.000, 0.000%; route: 0.474, 73.149%; tC2Q: 0.174, 26.851% Required Clock Path Delay @@ -25455,7 +26031,7 @@

MPW7

Objects: -arkanoid/qx_2_s1 +arkanoid/score[6]_2_s0

Late clock Path:

@@ -25500,7 +26076,7 @@

MPW7

0.225 tNET FF -arkanoid/qx_2_s1/CLK +arkanoid/score[6]_2_s0/CLK

Early clock Path:

@@ -25545,7 +26121,7 @@

MPW7

0.159 tNET RR -arkanoid/qx_2_s1/CLK +arkanoid/score[6]_2_s0/CLK

MPW8

@@ -25573,7 +26149,7 @@

MPW8

Objects: -arkanoid/qx_1_s1 +DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/sel_xnor_s0

Late clock Path:

@@ -25618,7 +26194,7 @@

MPW8

0.225 tNET FF -arkanoid/qx_1_s1/CLK +DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/sel_xnor_s0/CLK

Early clock Path:

@@ -25663,7 +26239,7 @@

MPW8

0.159 tNET RR -arkanoid/qx_1_s1/CLK +DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/sel_xnor_s0/CLK

MPW9

@@ -25691,7 +26267,7 @@

MPW9

Objects: -s480p/y_9_s0 +DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/c1_d_s0

Late clock Path:

@@ -25736,7 +26312,7 @@

MPW9

0.225 tNET FF -s480p/y_9_s0/CLK +DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/c1_d_s0/CLK

Early clock Path:

@@ -25781,7 +26357,7 @@

MPW9

0.159 tNET RR -s480p/y_9_s0/CLK +DVI_TX_Top_inst/rgb2dvi_inst/TMDS8b10b_inst_b/c1_d_s0/CLK

MPW10

@@ -25809,7 +26385,7 @@

MPW10

Objects: -arkanoid/qxb_8_s2 +arkanoid/score[6]_4_s0

Late clock Path:

@@ -25854,7 +26430,7 @@

MPW10

0.225 tNET FF -arkanoid/qxb_8_s2/CLK +arkanoid/score[6]_4_s0/CLK

Early clock Path:

@@ -25899,7 +26475,7 @@

MPW10

0.159 tNET RR -arkanoid/qxb_8_s2/CLK +arkanoid/score[6]_4_s0/CLK

High Fanout Nets Report:

@@ -25912,64 +26488,64 @@

Report Command:report_high_fanout_nets -max_nets 10

MAX DELAY -207 +277 clk_d -10.063 +9.708 0.225 181 control0[0] -8.006 +7.587 0.786 +47 +sy_Z[1] +34.411 +1.638 + + 46 n45_3 -47.213 -1.412 +47.616 +0.843 44 din_d[0] -33.844 -0.454 +34.065 +0.430 42 de_d -38.067 -1.230 +38.481 +0.819 38 din_d[0] -33.578 -0.416 +33.300 +0.422 37 din_d[0] -33.577 -0.427 - - -34 -addr_ct_en_14 -44.963 -0.913 +32.941 +0.391 33 n73_7 -36.772 -0.815 +36.204 +0.930 32 -green_Z[7] -35.662 -1.495 +red_Z[7] +35.396 +1.612

Route Congestions Report:

@@ -25980,44 +26556,44 @@

Report Command:report_route_congestion -max_grids 10

ROUTE CONGESTIONS -R38C34 -84.72% +R39C23 +90.28% -R39C33 -84.72% +R36C24 +86.11% -R42C27 +R38C28 84.72% -R39C27 -81.94% +R40C23 +84.72% -R38C33 -81.94% +R39C20 +83.33% -R43C27 -80.56% +R39C24 +83.33% -R42C23 -80.56% +R38C22 +83.33% -R40C25 -79.17% +R41C28 +83.33% -R39C23 -79.17% +R42C21 +81.94% -R42C26 -79.17% +R36C28 +81.94%

Timing Exceptions Report:

diff --git a/impl/pnr/ao_0.bin b/impl/pnr/ao_0.bin index e229f71b29c30d43f44b3770ede5d1cea1599bc8..c2f6a67bd18ec96216ec508102d8ce79a7ea674c 100644 GIT binary patch literal 907418 zcmeFa3t${qc{cpc>}WNT6>FrJbe$OI$To?fv{@$sOfm7XErNiQD71j#?^iY#AStH5 zxfGm_&>SfuQG!X7kf!GHQ9*&WT#BJw`W4!2E;vaEaZ10RKa`sYH1I=!4FM8@6aCM7 zW@azaO5WAZ%+5;ZwKa1t?|ILAo@dTwX3x&f&DE2S+?FL4_aslBiOwTmZw=yKLzt5X z@%J3%p2cA;R&WjqH5CnthNq^=MyMRtADkn!+?1&Z-3U!>{-w`g7&qrMBk+4;hOIR7 zQ|sFzYzbe>4wRdU24%uiQ)MGm4(kuj5n67_RD^C2U9{QnTkbroDxjhOJIwYX$ncf=9v?%$BqA+NM@qlf=J# zbH%O+R~}mwuHaW--&%29i^PH172CH~9$REq8J$F`Vau?U3ItRtyol6^aFr3MQDZD7 z1Xl1!sA7Vwjb>MH-;!;FHKwXR|A#Af1#j4j>6(eftct~ITaB8Lu&wYnS@{Yc36&2; zxSO&`U{b06@FsuWGS3N9Nl&d)EBQI0tZnK_h=n8J3hY~ICGbIxrpc?lKTQzW`JYv{NIYBk<8MbQRNO>e=YXv@oPdW0-aBn4lzO@WE(q1I&TWR;x z_{RtswmRuLFl-sNIthVJ^40V$!&WCD&`G|UzGc|zBm_Fi*RXG`z&GA?5)F;{>g`>* z-w0|9fsWD&`&LIey=t8`Y;_X#hAqQZCn3;DzM8&e*y}elw+vgIgg__xYWkL8 ztCJAuBwtP6GHi7c0-fY**tg7n!VX}~>?iC1k}58SeXEjULg_`ou$5kJ7J6pbT8K${ zQw&?_zNj$tb;Of=$$VXG08(xPM7 zN(&Q>_+i*;1f{g-7`D>FL?eC}wi-bxEjos+v@p?#A0b<2KVc(iwOPmPCu}nT%~c=v zt>#J@?e-vG*lG{%%d6F}wLIL~Q_8T_9^98#t6^(-xV5L0VXHm3FRxa^*79&`PbtGz zdvIS~tsz@xKjHH5OSF{PPnam&tyUKHtyb-r@<||I*h&KBsOm6mMTKM%b_`odpd3{l zhOMZOOu~*~D+!dNs>84q6_QEVF>ED)a#VE~wxU8Z2|I?ZBv6j34#QSdNG4&&u$2VL zQPmN$W%d(Bg=ma+%znZc!HwQ@*tep$Y%E5PfMF|oOyjd?*oqI^=q($zqQ^8oi-xWE zu#MibVJmt}$6;@@2DhOOrCYOl6otG)O)SG!@WIlS7d9kONi6SkL! zhH5wa2^)ebElOeEN{c_nkEIYWY%PUO+VTurX=7w5e+*kop_8^e!&cfDS;`;7)>7!C zEzhu(Hb$26$FQ{&I%&%@Y^9BnrTj5$Erm|n@(f#PV`M3R3|mW~leWB&Ewi66ZOqj1 z$LuGp14??6!oHPW4~=IP1PoghEYcq`Y^9&0iid`+3Kr=P8Me~TQN=^URt1anhYVZk z=cwYLVXJ~g`a>aGW0GqgbT4rU5eRHm^w<9awzOuOX--rv>;&EN(&Q>_+i*;1f{g-7`D>FL?eC} zwi-bxEjos+v@p?#ABL?)P)duAVJj_6G~!3dmf26(2wH8{G5ZPIOh9whhkdKLQbxNy z2pG27gZuJoHEb;pxAv4WY_$jX<<)A~S{`ogDP`Dd5AMsW)v&cZ+}cyhu+<*imshJ{ zYk9b}r<7rRivaBEK~!&ZB6UtX;tTV_Au^6*Qvl-W<1DBP`97WS=H?U?dO zAYj-^0_CXcFl`Tqi_s%s*oq$0_$(T>;=?w2%Z9D!F^$inVJkjtqql6> ziXPMWEE=}r!!~-$hOOu^jnATCD?V(aw`|yo9@F?N8n)uYHhRm3t>`h0&!S-~K5V16 zY}kq()A%eJw&KG!ddr5b=rN7YqG2mOY@@eq*oq$0_$(T>;=?w2%Z9D!F^$inVJkjt zqql6>iXPMWEE=}r!!~-$O|d2F)^FEMC8J!8OH*i67pw`t>dkXnY7!TTJmvNEGVGV# zTQAutSEJGt8r21B!-rqpI9s(PS4J${QLgGXrP%e?zD9(*IUYTo@BDV%W|3;R~w^%(n6 zAYj;v0>z|k7`Bo^I*NJ>TT!5xlnujHQb5hhdE8Sii?|cLdTb*VXKo6=pIxmn}wc*Y?=Lp3$aOEirG(?I!cyuDC}EH>6pBiXPMWEE=}r!!~-$hOOu^jnATCD?V(aw`|yo z9@F?NhHRPrgz;e?ceT^<~y&_7g4>xb_qa`&N5uH?=m0fMKgSyxOa6*lI8S&DCz$Y7VdVY8$rN zi+^*q8@8ImtG(KWt@h&ITEb`PTKN9w#kZTNNzQA2MvEpQDP0hOG(~=?{f$nf-+6=ZegJ z!VVy*;$qmhDk&=_q*hEz$_+W`b#q$E2`eX{R!&pGmaJg5!X~bu^9mjbR}f2KQ&uor zDs1D*Ij`W6(6F^~F4K-|$qF6`JCcv3onFCgsij-qiMeLp>Lk`yFk78OLxaAq;E}LF z1UgAAWNQWPCsdupLqoobfBEK$eNNaA0G*{2_N~tHdbN7Hg4vQQkJHcp;ffsz4O^Xb zxvEZbwlQB<@cou-41rG4G9#g3D-{T+RCp1o6DxQmEJg}cDvYVO_-jJ5pD-14EbWBZ zPq;KbDa&2KcgHD1Itq6TTT!5xlnujHQbAs1&T@8Fl;4-bQJX%wxU2WDI12Zq>zrH9>Z1?C?;jY zu$2_jQPgADiUP%?Y#6qZLOP0i3|mp4n3N5}R#HeuQIBCO3KWyFVc1Fv=_u-1C2Y+s ziIrM1H$|Dxc;1Trj!8AjUUj5%!~gZ{Rl!#05>(^)Dj5lD+T6Jj!&WD8XV@}qbrJ%d zLdg@$yd|2 z3|pOqKqvVc_N^88UfoWjp)p^@fm!p}abr-WK`ZQAY4FAPa4ZB2TgO5uP5FkcG_i3k zUkqEvLMTo7hOIQQaV%d9TgO5uP5FkcG_i3kUkqEvLMTo7AzS9Xx@lr%5ns%Ebr%69 zoylR}N~d?mt6BsMTeWzkJ7(BQH$S!B8MbQiNO#Pzm2Q4&y)$gp;*su{VJqGI)Ou&w zs>LJSF~e56`Kk5JuvLpkx?_f|bn{c|onfmMk95aEw#84q6_QEVF>ED)a#VGMY?=LpQ6UMsTAy9rms0EgOr`BVgEy9@F?N z8n)uYHhRm3t>`h0&!S-~K5V16Y}kq()A%eJw&KG!ddr5b=rN7YV#t=+PZ%G@ky$qT z2_u6t2}5DuN}?`PN2>@Jwpv9n$?}G+B++iQF2h!<2qszHu$3g*t=47OY8AmG%Nw?m zM7!0x3|p-tm}GgwR+4D9T9;v~RRohPZ`eu_?N;kDY_*DDlI26T%znZoQD0_VWhg8hOPGE-(2m6t>*A*ueM>Uz4$j*yJ4$2yxOa6*lI8S z&DCz$Y7VdVYKLr@{eeGJv@D@wvQmRU*tb&S zka1)Q1VXmVDq{(dQj_)iaepKhB^(LaGM`2+0a9wR-nGr|TUKg3GL9^cK-UX> zwx$fXY^9i-1+Il`i3K?(A$=a4!&3-2;ekW334ciT7n~S%MGvd*;@7c2~`DY zHyCKDlB$C9?o7jIl}5!<#33>GXQppyOl1XGI~Wc{C8;{MlFFfQ3K6x*@x;dShOKyz zjl*iV%80{u$Jq2b#Z%`Erf+o$S43go5}o66^qz(*h3G9uZ1G=TZTeQkkj7{(>{}gk zdBuoD`b~$Qn5_Eyt@Kj`56ynUj-V-U(u{;1#Fp7lSWQ+Qk)oeacOJLHTwkaB7^BaR z$A3J1vsn|yk8)Hsgd<^8_Bz@QcPQnzV3AcbhmvstZd>6AfD_C8n-h zhON4=q%_g6l~Q8ry0yyL8m$Y;B8k58y#0jas72IcNWS_l{@w8+Pg-KAF;yl-^WMyV za1lP+ZQfGTCB%JeR`s)trgl3GYk23gljL6PE8n%M*=qXoZf}8AK5w-b{HAL+Y&8v8 z8w(h=+6aErRU5XNhOCVR3|nmkzv-$CTTMgO#sY?|HiF-D)gfE+@6ekzWD3NOKr{OZ zbL>vhp`~u#1uaE;AD!ekNt>GAIx#{17jus%r^NU1)_bF zqn;pF`DZ9+IaKm=lztK=X7HHp`k+E2I_IIMp-ii<%H!|BtdKC+^(Kbv}-m}+bXlL17uavb^KUtY+(dU-Cq}6Y!%UC&&IZt1(LZFCO%JCFv zVM_I!vU1s0k z^v{{a*ec(mlX9wx0PICTqIQ2qguXAy&(SR^_w>~=aOj>Dn9UyLm@YB7=i&B6TYX8Mp<_$N1XiUd% zd||P^1&8K|(sAwAyqu1XDi8+z9o;YvYC%jRAcJ`M`W)Z{p;N0D7YpIiD$yRMhXS_F zY|O4LsnD2a2WatB1PSLJKSl!uKd>Q)2dCfx(>!G>P!3Gh7KuFezH=ArTZ>g?FP71H z=|O*(H(J=jZwU{IRU-Vb6`cK;?v@;sK|J2m;i-VFMoB2d>rbNA1DZ0TwpQgwtH(K{ zgvzzL6eyoQFFBs*UtHa6-%98*il@1?R_FDeAaju!NY<7OV=4?JasJLgb8PVkL7gqQ zeImNAPmf`zTKnj-eyg}`jdgFXhq`BrbViZre{@r3?C6OZRbpM*?<;q!v6KI@-K&Y_W_pDcsiI8ML++veDc!-r&T z>fsNMmqPLSXa=7|D(WH&R1GL_Bk-UXj}J)@OGUY7`W#Tk-KWdHyAsI7V?|4$$L3i# zUis_aX^yQ*E93PmZtFr&n}GtC@ZJymOm4?U&Am2_0E`tDhIBa0HLel};?^ zV|d78amZ4;WN1n`J~ZIh^Z&ECzU5es_awq;Hyq5CSS%_>()RzeY=!N&e=usODP zz1xaltL$wdj*AmTkb1%Pv|~(8(^rR?WbXLbjY{`&L!MJ2IGA ze*k_j90@fyOr%d{Koy=drAhAoSh9U91#JfrmRhufrNF_(U3k&2RvlXa!;*_5lHwfi z9UE62Tb;?2zKC95{?&%hx+7nk@->T2O!o72``VJau&#bGu$bDafo5t#z zY&7vRFEwmwtoS2Gi5aZ{;EE0C#G>N7{%eLUA6cKRnmevoAaBL!&3%TgR`9EoO@L?l z-nXwdw)nvkc5ZD6a0bX1hvOeM$k*A^657Mxxs z!n2amLC*OMSY8wd|hpLqy zf>Qslk54(3yW?UvX=;hiS~d_x;Dcwbc((NB+-j^I;CV}n3eJ4WxlEgu@G}!X7Agms z?L0lRwBp&C53gmbaNd$tdDS~5tJ5i%x2jaz7TkUJif1c)Y11(cPREJ0ZYPGOcLqln}CfX^H4}5%8vQ^%# zw%DRPo%}tr-)F0OMU+lYjaO9t?$7dFhFBfIl-pZrE8f=uJM4R@4&zIQ>Zy6JX@DtO*VrTSkwq z(xS$j^Q)Gv%0u)T44o7&Jl=CxnJxBtkrvc6$3)tOz&AhXvt`zVZKI%xieEoo)3*$1 zO<eqk2hOOisFf}%TKm^~7Y@)Wbs@gL23={Xo2xBFPNB2!{5mcd>n9h&?!0%fk zCi@+2Hg)sD{qHv;Vf7Ui-h@eh+f1_(``Z8S#(cJ7uc+CUw@*KHJ*oml<|nJQZ%N83 z;DF9FdK}+q;@zG_${@(QHaz~8cu~(=1%wF7MVwqq6>ti~9u2nN@P0zYKYVBL$ZI$#n@E64khQ6BIW3V-! z>SL%cBm1YXU9D^_1FW_eF(YByDQLn0!&VbuwO!S))piP+aKNzDI9Osy)%=pV(PZvg zrQc*-4th&JOHJY>D8G7%SraaYx%QMXBVl`RUtX<-E&lzI1|_qI-o1;YN1nWGyFU^x zJtjw9ZK@1bZxLMDvEtI%apwwoo|(7e201$WW+aRN%kYt71g4fY@xwKyZ!L{bnsQCw zN)sE$^2M-qEQHdOZ`eu`8^`j+uyrhi(v)x5N)sE$^2M-qEQHdOAF^fk6Q+rkMSMwm zKcT&dADq181(U;9dl!-5!O>D*CY|@$Q?U?kcq#fe`O}VE-)lHxsUn~FjaU3wFti$0 zLdC*7V_LgU>688#gmX`415s0}CDNa<9k9_TDN|lb4FD2zc zZ=r;S?i{WO zJI?V{>9Ap|lV~?=8MZnJfll((^ew|yCn3;DzM8&e*y|4M4n;Gu+>Qjbds;8ZyB~a z34u=X)$}dHRwp6QNxp`CtNyl;PHM?2@U>CH=`&1<<#*qC-l_yU!#(EYpEfz^{I$1ScqD}$5 zr%6e{SqpwlH_#6+=^z@M68Yu}_G5jt!dB=&lN+&WUJTe18folYGXOVPwx$MA6I))y zE59?cx_obSq|M=CPy)m4o?@ps=+hpp(VF%~01 zAY2nhV$2wc83Dsq%m7Df-mn!ZoG}|)zI&rer1TWwvB zH&5+N|G28zY96q*mRKG0)^uHrtDl`%qOMA0iP21uZyodd*2>;bDC?qL(`HpyC9*^j zg(Xq=;c6HO>tj{(C{{)R=i)Y^nIOMe^$dRTx=cuRLsek27t6?Ne z3eC9dF@38J!nUfDn1-a!ZZ~}^4LmgFgXvq1;gk+7!&W+YXv_=4R%1A&Ln~yfW;;td zTr*zGN1$du;rz_D#KUcT5mdgkDO{iBt%81Kh}xw3vb0sl=jG}&%PZ2RifhPCc z4LL)UuUDd?96_k~#nrqfR5b_&Pok{goo_V{rpk)7kC2qVsSVc!?|~I%t(XGh!j!QU zVT+eGRr5@@g06J1HBD9GO@zE!CYvbPsOmE=PZ?VgPN2s&rD|b9R1sJVh@6+}>((+N zsyB=V#cFLv8j~MR8C#LIZlxIu*MuvrT`RLahOJKG)v#sQ>Ldg@$yd|23|pOqKqvWX z`j%mQI zQ-IA^KK6tD`6XJ2U-MGKRtxa)r_BkEmmgOY7xLh zi-&9_y0l5Og)6ACsP_~0@xHqG;=jPq`Pd&5UH)&yw>>_K{agpKxIQl2s_3FyoNOK& zS3Wv|jeNP{+demYIG=ftkThRldH+LPc5-3nUO$N~WxG$lHbZa!mm^R6y9fX8FMRXC zN1lGiuYdb?)lWMqo42U@x8FJZ{jCR&Tzc8w*POBbz#}(*heW|EUZnQ~3e<^TJob$h zIH@f2>fEMmfxJ4cC6a!jKEHSl?ad4v@Y7m6p7VQ=t_iW8Rf~9J4{?SfF(x>m8;kP* zM!7T!s`dQ?eoj>f*qH15XcSL9g=bp@M6GT|-@tkSh?ZsZenD%?8M?&a z05*II4#IUUG8^nCgjSUltHKBd(Rv$9QMs1jY&nX$dT$I8TRVN^^AWR!-FOQ`aEg-! z9_!bVEa)gFF+#SFqTS;Sy2_%bxDqJM(wNS#IXH@TSprG5Fd~8IB|@JVAkKAZgS~FR zRacA`zX$a9ZQDTWg3X!Q4X`EsvHwQ)s=j#PPPO{k$4nKz{GPB#wF{#2Kv15h@yAou}y$R!yM@s222BQ!c-q8 z$A)m9aK;n11+lZ$6&f1bIG z{_8~&WlHu-D0?OSgu3@Te^yxg`Qkp`dPL9$*WX^NxUH{C&C>S%%rce3m#{i||i;gkGqsQw2vA zhCAsh%!X93do+)%i%MWXa!~Ps#qm$A9s|d4S|`{#9|UeBAQ`_#4z?o2cO})g0zgMF zxQ(*MfT$3hHF^%SwTY3OLZB?c@KTPGt2o@Fj~og_!LYL205PR}c-AShT)@V;#A^zy zIk{#IRga|{jY`}e3lCJJqG34cV6az2yP{$1-47(j*2eBztU}L60n#jh0csz`aVD23 z=AW4zFKz7W!Q9ioe)gywta~LgZ5Ee=*xUPSS!*-|#ZZVla@@9Uo0hj|N; z>#W&gX(Q$GWtA2J7hU4Bh3hSDvD6ma0};*O9{^W`-<+^$7>}L=p14{goFj&FyOba) z7jY)w2>nadY6Q_?PPN>j8L|c)&vvow!!ssPBxmz5W=v$-*L2~L;l%Xt3_nyHW+$SS zsWD0R1>C0;k)S~u#ri7nyrsIt^^YXhx4Klp!qXu5EAUsAti(@ISgK1oXrD`avf;#3 z)~E{X3Bo*gR|{4w5J^Jdgoo52MJ&zd-u<`XJgl!f|Qwu|=s+!ET`; z0!tYZY!xlS6J}mdMIh(=ZDMR`_tg?UBaav0`u{?;3L?nkDWO(^xF%5!;dzPe;)4pF z?g?*HP*bp~4swLSBuAhgO9ypYiw8DYEz-5Ym3HzPR3hi+SL-$t{@UAOXA4)i_UHqb z-<2=!@9Pn33w_pSHClkp5`pi}pd^@HhbX1o;UwsL;yU9qzjx;H*5 zsGu-3_&>s9$$m}7ja6kd5$s~S{Pc`{)jty@X{SKAWx4bJ#Lt%U zVSP0&HyX9G5>8iPj$Ev<6^Zk)T6E}@SuDgG#VoLlsR|}}V3U|2L|5?wZQ#5t9Y$S_ zBzqUmpp383dJ8{ND;bY2^O-|~^LpZ`VI8~CukCuqvr zaa2+Nopk)%o%Y;OW$l<#q91x|Nxi%zoc@yN|G77ldCkoD@ekiQq3^-( zcDs(|$L+toKN9ONA4!TWdj1sn09(B@POoCNTn}v7r_p%-?NYtC3%N(#H8&%-zoeFE zT*%hlAzQ3FuzmX!W$qk5{y?gF1qB+!g2As5L{Ksfu1oFDz zS7)lP(+JGgGx6;?qThZO!`}>bl>&t$)H_$AzFYSZ-Hn{fb+UCbqD_i5cSK<%q?5Nl zN^_sY(05ez2W;t)@RKSu_z3DgxkMRwL;M%H^xanC5g67L(Mz@Y%#lM;RO7w%&tvaf znPwcFuZ;fSe+I=y3iuPX9l=9VmE?0;y<)}T%&;?R#f-oSci|B3Y!&G#oPos-VT^ve z(y5`9ypEL*o64a|;D8d9NPMBsWMgNmRp%?+o)J+s=4yCgS_(Q_bEq{JvQ<;QI)X=e zw{qO0y+Lx(Kl{`MY4uNb{p6@qw|+Htw&o$abPI6fR3pJMpf;^0Hb0{I;Y2k*rz*Zh zhig4^yypcyRe$1ErG4yRt9uWRU4z#6>1F9+tB%_;OsfSqOszQ!|K@4QOC36aENZZ# z5~`--eELC9rSimJW)l33CUswd@DNagNezFMuR`MFhYIEphZfkW)_o8)$=HQ1KL0bFQc z9;CIOim8SAO{6sJMw$60j4xO989z?byv3KSSZ-71mmB8-Qclknb$e%+NhUU>qb3|SkgZ8>txvKq5N^x;9B{h zIeYn{Ecy{2gRBao{3NL&)8UXKTt^XIT*-kR5+I7Q`WzfYHKu)*2$F3X1S-}u1xeM) zfx;rE@cE&0gH{Nm^R$nDF(+{5(lhbAVoOF#Hz&i^{Lg1Y=zZs8t&ioXs7()JwS_rV z=`8dVKcHh(K^>3mz?(f-f;}Sj`={PI2_;#xt$~ZRAk()b#1=m-RdpQQQkCZDFg94! z6In@SwtU@siuKd%;M4xv4qMh0K#3GN|mpvh8HY#jMOIG_OqnelC>4r#Ohz3 zH7X!G5Lpg|DU}hkhC^8mQ-y23+_jY9)G^^FHh-+Cvr^pWvbK&&?(#w}Ju@k`*uQB$ z6!}mq#VP{uWmZw|tE{rN$o$R~eypL&YV!+}GZqA@AnjR@SvSF{BEPOebs{brRUKb` zcyu<_5dbZ!&6uu@ z)^ArxPyJk^szq};Hsc#NC&`w+#Nt75;m{--CgqsKFR;|qNVwQ&vB2sv6W+NqNw$vZ zj!{?=fpk69UD8#PNy)G!YmuH8t<4N$%@0pu$>3Zp_yK{_q#s=5s1`~0lWTJ4c{MK@ z+L2)(;`2{b5+mWlNhRWD9Lrqwo!7B+Q=EV4A1A?9iUH^-*OD0tI|`B%J8RfVF*yrd zGi)t@B*h6avek`O*5bn*dB!Uwb${bP<{5we>pb3^OXIVY!ACy9gT1$Ho#7}it}MJ} z_tM8FsQa5g3K82jbvWOBH~o71#!S~0_`2TRMarys5OxaslOx2SS^iM#t5*h}bY=2L z9~k@DYo0T3t##;?hcCcwd9JVTd{lbd`u7)p`}TuJ-uC#-{5Hvl*B{vXPj5eX*QJmD z`^(P!z>SZ5>bpHRe)`7i_8s05o0oe|%+#O*HsCf-qS z%D%B{*CCvrD*4;)EWzS;bP}(f9oEl@IHjj{O)RakX=n~QS^eFe0f8^AiYJkRCL~yD zmY)?!or;4t;#dMz4eGagA_xEd492aIQua1X zXyUx#d$Fwvol_VPd@6@ecKOYmuDefa&jg{R{GVkY3?Ph#2yYC^vz)`i-x;@*<>9*{ zq-5~<-!1qkL^1r(XXwi!hB=8vvV#BZW+&@IC4nx|gjlEWnq5m4u7Va#3vUupM~Xab z+3T{lrIfIw(4A-+1(Z#AMK1yn;b?F1m3AE+^w|^or09j~bSi51z4Cn#oInQFOY#9S!-%uE3TfWh!Pi_kFomSU7wE(R{yzG;mk5d1>}J;=oS1s& z`UB3{!y^v96`a3s>g;@e^1>brSzD)7{-DZkUVi`>kL(`uS=WYjb^5aMtcmbFHWvHL zqV%zR2q<(ymw~J+MSq4EH!=j zvUPa3V~?wf3eoFl%kR}KAo{wm41NMF?dg-&45IJ;+H>EJDCVV$3OI`gG$vL~_&oXJ zo7J})dE@G}b0^+_KHY=YKnYSH0?fMWAIM6TrSWU`-@1niZf@4yQbhCvTfd%j5WRox z&@|qMPC6nyEs$MgyTQQLJQWA9}=VJms)%P zJcg7D`%yneBKQn=Qp09Xup83yHOueS)w)h_X^dFTDBF?#7SfR}%&7blUQDC97!3-2 zMoe-5uiL=UaolNvGe!pAn$lF$i0_T7w6ZKfB?`G9=I4YVO(S|-L`w_s8tq*X*%%4M9 zMmSGYAgt?zj!t%wgD8!YcM5V@8Bx5?3nL-w4=xJ?75?-hgp+MmKm{j&Sj0%_BhS`a z%2oT(mX9CmiLY;ANaMlg&#m!?vKOg4ZvVi}`~~h>3`@T`c~;R4&&^za|D*kTU;D(D zH-3NWl-c|mI(wgP&&I-oiU2d@X;yLT+UKhi4xhD~?%mdR$?mB`8~We#mg4XHomJeQ zxr`2$`cI{Yin)xX_OGQYilyAk<*z6EuFX8S|H_LCeRk0c=)a%cPo<(7AoUA% z##iX@`abt{XYN(EO}+75pSsKX@o4W_;hau0eBXC}VGv`TaBxqTgBLHN?k@Foyrp-o zqc#_}?WS9EYxi!x>(hOwstaD0dy#d2ZmsCL{ZQX)r%Lt-FY=0e){YFRt$qJJJ$v%O z4Bh(dwR~NkeClTfH>Xv3?HA%>3%>EC2HbBP60{kY@R`25ZcZW~g!A{KLVoz*EjlE##xDM7T`%XL{VL7skND1H)vM^jtTgd@9n ziHPZtdWYb`jKC}jL5G7MgRmvhTjeg?7wCCQDsPCUhgks}DRex^Z{2k*yvI{l?B80U zG^(Hpa%c)YhzAK&!Y`Zc-Xt7>EYGqn>0mK~c@N48NkpTkR(iNjSmxmqe-0eP%4yg{;|WX+5V9HBL;4FLYpZiIjyI+_5`W9O4lcwJ$39hW1wVBy9X zxe|X6riTS?^9d2GKcFXc097j2b7nXTs}Q`Dm0W=`oAt8e9QFVuq}Z(#UUrW+j-AP- zagQB|^i9Xd7LSAwekdR~VB0jJ@G3|5z0A+9JX#*yvP>c5xQb8nMGcK+rRBH>ED5Y3 zqt3;E$gw2Zc|2thQlg3gO?419KL`vh`Y_PKxAcL&Mr0)CnZR}R}k8QnfU~2n2>5BVcd2earndjK|m#)h34{7c8w$h1rS+~C6I!kOR z!0ID^v*U<0``oAH3txJ6ueIk{AJ}o1HT#Nx$Q6DzMr(3g`EBudoRKT6-G^HaOkh8O z56YTVhct(OZQjMk3-?_c|DMZLOJ}hKJd<8 z6LC20mws2EzVm!%euLn~3sRg-3*3Y-og!EsJpeDZakdrF{R+ z%L<;9p5hghL__eLhu6j!%#?>AtOrA-H7hkkmP-fur!2Gxeazx$V^ys|aBv%?-@7Yq z%uO&cg?D+9aJ{Q7>YXBH7IPBy*c9AhLkIT&dr9Jnt7KC&zU3pBuWYC|yP!Pyln)n3 z!dzG@Rv^9vUZ6(E9*K9Z9OupUvw>K_g>; zct9(=w*xTHpqY?)l!Q8WOY{l0JTrl}AKRn^^GTD^SL{QWWd;k(NhwfVUl!dw>*Qe0K;=a!8>fel8)WBo)CFFZ+;e4^c19nEn(;{X_?P3Sm^_lo{sC<;zfv zZ?~dQt!Jox{BG&*=ec(YcPs7Vjy#U{_2RMQeff13mJWUPfXc14HvjvO(=~ms zoj=Yh<}rO9eNSITp{m`Mv!|D=FYnvPBzj*VyG!A{x&``*Rr0BWtro<3VVr$SXwR@N z+QO`numXOjiNuzrCHh>8O4+qIz z=b}$aoBt?7E`AlNh@}^nUnqedg+Hv+9URcRCM6Oru|{9psFoyr5CY>TbO*cvfg~)? z72KQ1jj)Mc%NgVDp~#P-2uE$ZpjVg*79cK{P&2OXX5bQa@=_4JNavE0I^ZAB#jR0W zRHZCC_{#qsCtC%{j_FstTVB^pffPO*)WV;A@Cbq7OM0%2*J%kR$cD1OS>jJ?9?${d z3EbIyl;N24FyA2&IvK3mbclvPYB=G*44ZO$sMj0gIlgO#kvvnsmtEnlyK*0<76&)j z0+2(Q#TRi@;i%uZ5q1cLdqN5JQaQAD^TFFfdK%x5T% ztIEa|MGAiq%RjYp{PVcKZTCet^FBG=|H!_HFP!0eN8ZYG-cIVu+pDK;_ zJ*719-GtRa8x0e(vvCNg!3qK?$evEbBrU%{ZPx9*d-$d>ct~WR5X7Z)+ZdWO= z@AZGmw~V*EK6<(9J?9L!e-6E7jwX)cm9TUho;cWauI5QNca!L)U(m=AoBA*k(o4mr z)6n7gHM{;>ckx_d7j<31-L%KDs9v*yVe0@v%_C@!&eQLb#j(zG2 zbFTN@;MK*$?_Zg{v`5D*y_}y;z#X@Cn z^Vxdq6KmYt5C|D3)t!!Q@_MzamEgJjn9^1w$yFVvBzJ83;nvI!|VEv4zJ1I zIu5qfKmKfZ-CIY7`%epAv+KWg7i{@&-Q_3^cl~;L+&ytZFHQvc@z4CsdEI!g?t!`U=*eG1v{&a|H}_DvVf_zL|6}LTw;%T0$98!AM<1eh z-gQ25J@?}u^D;XgqU%0!3s!;TzTsM3mQdhRJ3RMi$9tLJ&AF=Nxo14#zt6YtKj@w} zdG60~-{kD1YkqFo*Zqa({^RK$^;`U!U2Pd}-3_AXTX@?qqSgRSeiylYo?H5j*MH$d zboJh?bQ9hbe94^G-*Y})``^f&^Ln;#pSHgH8~U5azJcg;&*$(y-~Qi_>i=N5MXdz> zDtJ>XU(_+l(}(wwy*p1k@J`*^9w))o^v=?+_@%awV=%;fb?3&w7NQ^HJX-UwC4J^Y z{~_w{FVWn?M2|g-`afCHQO^0;7_I$xC>*($eud~97Vs?HCHnYjL_f!^LHOoeSerw* z3#5YBUYvf8-&*465nTUyaBw>8Ad?F~P+vL9LHuDEO6QhrdNra2>Yv6FrFR$UlDmm+ z`Zm$8r(tr2@Fs5S;UmiWE?)2Z*nKdktj~Q?QU9ZOv-vFSwk+OH;=4PxuEUBAS1gHvUMkQ(>F$g4be#8da^q?@LU;V;Py4bb_3iz`Puvdr+#)`E z()q_JoN^X6F6Ie8gon1s56usj>!BWM;r+pyv+qd6* z?i(Jz_BCg`@4zEpc>M62ezW1{-i@;R^6Mw9^0`>J3o$rp3{q}z;j>tl$`;TVyF3H?kg`9j1+E~QzfU!QL zppQ`;20k6zNa#4l8V~tde3ht5SOh`IRH`7NPe>66bO9ZVG{Rr0IikiAZl(&*c|n0} z4`&Eihwu^&igs6~T0L{>O`N@^FvdFacV0MIoGghecJ<&hLwnlUg!%`)owxya-KP%j z>cYh~HbG*H*C-Wn@W}ZT)FY83P?2&STd{|DA|v>&3(r!}W$_28F6gH$`}n3G#m1H` z%6?*&uxW_lV-fmT=OEGAX+J&C#nV)TtewM?jxELpuz1cW7;ph1iz{%jZS0AV2;i~3 z1IYL%4LLfZ1d5^;vm5v+YF8@U*Wm{@Y1@XrA^b2K<2c~qajz6eCs(6;J?fJKG~=_q z#g-^cyUu4${3nRG=yV$YBGeQ*EsXH5dvO}B3eL4K&mmP`E;zx3sN|&h_Uh`Z3J);g zs^nIb2R}~Xw0a_EMs)LnUV_^u-7y?IHy^;|KYP2S%LNE%w#Zt45D)O!T9F^}0W7Hy z@sz?Hbf2wvHRq9XJ!n)CIqQ16Xz1X<*F1CmfdVT_!cf(*PZ?GEUB9gIrafAn!_Z-XAKSd?rVL%bQ1Nrys3aG zi%DnNSf6@Ei&B8+;<{XO0Cr5@+`C?s`qheqeG;X}wlX#wy^9DTiVmyJwP3C)>T4k* zQC4k%Z&iW~;r`r7wdqwV%L( ztrJGb5%cQh9BCB=PL&OWFpEc(lFbR^@|+0;g($WpSUBc>`?I+S7N51A>_H80S!(0Ht=-b5AYFs zwO5JG!iS%j(x4~w9I9BS;1}aQX2~i3NHK)nQ!`ap&d-`Cil zomEAVEn@TF>?=+t+G5kaFzQcmdtaw!`>dm<&phX=^qQR?rowS0D(s;w-Lo$X4MA@> z;fGU)=}C7SKX>%n4WjQ4=)&nkS^60sYM#%oWvk}eOz|Onpmn%-S-*Ao((CqG#W8yA zd*QP$*QywIytL z^b&57RMyZ-f;|7ktzdt#42@7;bwX?-AtnMQtlC}PrcC%qi!e#!SVsU3hATB4WJ$#B ztfoyb(%r0$&o9Se86QosAp$wdMMdOo4$9!B;W!c?0)TNwAh3$t0kMt>7w8neXOjZK z9P}U-x*1dun&SN(0&5am5H5#0V$-xD8Yhvn$h}$@w=JXuBH6BjZ}6{f`B1Uh^Z&jw zMz-LL6r$`BK1Z}Knjl-@o``195LM0!1Grexe!eiN=T;I#6B8oED=R9Tob+sKJgu&-g&>%#_9n{7AJC!wZLTW-qQLJE+Ap&UZ$qBH)>WjShDoBh?}RRQpRIr79*|yE!pL7b?yPg^ zYuk?7V}0p@-1F7L=c+ZCSu30WX0b$c=EHw#U45R)obAI7kT>)X{qVfM!AFgZB6k@r znWNawyXBD&oc7g%JpWU<(%Ror;px^*Prhd#z2J)V1yZ^0gIymu^C#Bqvk&wXw!h)z zO*!j?%wbu87qh;J@R%tcLL*8WGj~|Cm(Gy&rNV~p_lBAD4OPOr=zIrTL+&isUe0YL z@a6}7worKt;_+CbMdIk71`de6QuRcsggVqp%JH@Il9vE>%?o{p;LDsGw zCnIMBf2NL$f#)rl8sZ74N(5a`-iNsRvV@Ci+m-^RcFLz>vJ>doT*jW*nIFYhijf16 zWR1hIEw(7weP^RSMdAQw=|0FBzStNjQLja(+th_58}*NcnIMNk790v!iVa9mL;C4N4#AzI*c9v ziCy3bUp>f@z{Zzxr|45gk|vRfZy4ALig|>gJcPdmq9-aQD(~6nKDVXu16-Q(-?pJDbK|k@EePdX%H(mhxTje_%>Db-G|%S1R-% z$`t>4L;s!h zy?w&(6%XLiy|nHxKD_aKDs0^G@6RB7jjYs%9fKbAZvV=_4^iwt`rhbf+}Z4o!`1_r zUT1y(o?+Y30bT&#(3wqj9Q*m&F>rx)PW_nmj2&9{BFWDUr@gl^|} zYcODM=GALd^6~94vL$E&P=9_^cD@lZzd_{5w-9*Q5C~^QdS-Xc&$O?dn0VFN;D* z+B#a5TFzc};CufWBU|&l8bw^UL2!Tye5iNb*%=813kQpA-b{9)#OF{XM=_OS+9MLk z2E`1f$A}YMfIn?Y$M{}J=OXoK_+t_D3R(C83Up1q5kP1BR2(-Q2R9(D#0eV#3Ragp zMX$uH2h@{1dFr7f#yb(WD42 z6wso49h9}Wd@xbhDeLPseJs2@Mz((JdT*`jJs)$ue-C!35jPBb0vGt({niqG`F$U9 zAy0Gr`)AbXk~8zm$K3vh^W*)cF~z?c|6pLbe`W%z-rS?Q2p{Ht<$4FcsJj|I zV&YuPZ!WfV)45hjjgfHZ3=!@ae3id}P+$Wg_0Q1UQIy9Yq8WcbA-p|Txv9kBlsn9aL z2iyF-V4G=waJ`qX)pT%tx8_YW-EDt6Oub{{GJ8RF?(w(Xi742@_QD9+8hvt% zY~g9od;YUL%|7ck&wUlIA0Ykb5nL>n#E`P#v|LPxf?p1Rri(G9+n<|G8P}%E=KH|CCZ}X_sRk8)XcYqSM zGwL0K@TlvFS(@7W0*usE|!qGwQc``J9}%Uzdj zIicqr2Ywwa!gfv-3CqB({;Lje3zuZUvqdhzi?4i^FE+Wk2*j{J$@6|feE4N4Hi?jy z9A)#Y{A9$p9=syccX?sxOLY6Cw;sOmjDts>v!Qe_^WJlI4X*i-diHqt1wSoNx9WT3 zwC~* z>HVN&hNS)w3xQxD)p`0L^f9lG{&NLP7n&rU#0MFMylEI9kV*9lV*sHox*ICOwZ2gPa*a}_p(Lroem{M~V zLJud7W9wuLiW-P2pd}6IktE0Cj4wR$`NDdB4YIqErT`t-(rUs_mO*ZAm~pmAoUJSr zw8h~I^Y`6ZC?Lph3W5wNa)iEtzNzQHtr)J0oXRrjpZw1bm@qB8+mP&X>$pK$RB%Q| z)URqRN0%Kq`R+K`$~y8ac5R=t9YZ_;&Qlf6_-;I+cyrooIYx($!wWJX09euZP2!^) zKgvDSfs;7~O8jWQzdW-FeF_v7GAY zo)d`fA$6@#UJ=_1@6Yaj&qqRx~5y-)Rp(B1+2PIk4>s*!B5uLB_o-}j;JTbInkg882j7aH+90gqPS;sCNwWZSM zom?-(?|#Y;a+l(VNfI@K;!U&*^(yQ^xY48B^mNAiT}*x$UO@$X2s#vpSwwKv@Eh2) z2$=9ga6koZl0gbb6~Y)ZBaq9!5i483&vz1^9zYcNla}r{go#0Mst6_+K_ofuBg-Kz zA~@GQN#5t7&5i1Omj)!b9m2{)$7>HdO=7y@*$Jqls3Z4S7#0IPR1F<5MD!$!o`OWl zPXw!_>>Mr(7O9VXnXm+tF12B6&p>g`C(QCtGC{bZ653Dje~IRu3-0ou|YUo(QFTuk9B7>p*C2SkWUAPFwr}KFCT(EFimy2oW1Kpc*^J z?(k#20zN()#SDs0V!=`oJ=)ocURV*(T#4wAj{DagUub@InN(Jp^zU6b*qR(UHF~xz zp&`l^w`ZvM_AB;gZrRs+zIyq{WxFr^*@o-(UUKim+J1WG*B@LnbZf5r>CeCOmj}jr z4q+FAUEJSaunrWQJ7^yUeHzVk+e+D2D}26$P9*y=b^%;Q0>M1JnTq@RyQUs^F#m_% z5B4~FZ@6>Tx#P2cefx&1p7J0*NV^YZZy`GFilSZ~WQx3~#x3$W)-TS#_H~cl`N;n| zy#1!Ltvj%;QG16b-*V#1Km7bzdXad`WB9tsWfx@+tFzU%%+L>+*FbU^@7A%kmsxlN zVVC-0F?R*s{>m3~-YFTfiyQjATlcJ8XQ^lP^{ZJM`w4H~zxFbWvKxj}V4$^Auq~s` zoqua~xwMcDF10C4S88;e#xWb=T~%Uq%C$D(I#z;phNLTodHu$HNji8_GVVi1IEvv> z^2wC~M}lMg8(4#Dsd|M7JoQdh7P*=uICzO1iL-Rfs&0)^4a1}e8J9^ z+scZB%9$x7z%O2l(8U;oCvf51ZeM{6PVqdOa}k2u1*m7am^0&b`PU4qLHIty9YnSi z%&&eUEZYvG4|Q-<3S5rRwkhYpBrGEqf&BBv7}$cZ5K9&b3kly<7ww>3=kYta{N_vO z+%kbgT!qX6jX+~d7v^b?CTxibTOkv25PS1*IV%J~G@B9l)42daNbH$$h1^6VoaYJ! z6pO%%HbLtk1u!9tY-I7r!lWj#x1Aho7kkHV_k>`tJ=`@7vq&wYPU$#XUWQZGPB8KTCh`Vrv$C z>p!`y|D15pQNe1ogyigN0)WcJ^6Pb2@j;sByS_;>HXO=u{*yH zZ@l5x72XQv?<-8RUmDZpTRc2Dc*8hfFENM`zEKQge8Hko0=KR+cn3aKN*?*k5p)YV zW=paKbi7GLR8YkKAiCRydjbWgz>?(zxp^_0!I@H-l2D7kY|6pbo{y3G*fTV38jv^*NOeD3FW) zE{)>aLJ59i)kS=nxSm3|RS`eP$1oBGUiIMhBXj}3?nSyZ*%nU~(=;|_LBXmj z%k=TZ0s=hj?39RFr%rgZQ#yZ)%7E=2SKHEGldyZ%fgm=`B0*UwlAlR?mXbX6GB1dZ z;-tlW9!L2GPzYxR1v!dcR|>6U?bhWz{~8}#01PA&E#v9LBKQ?&T2rlkDMtBWwH39Da;(OfZmgL!m^BI z1ueJ}yf@d7mD1t7=X&x4cd=3N*D(*f!*D9E`vHf27d3-|c zE*2t6f<}R|G=W4Lf0n?Zbs4-V4z`5v*f!gG@M7$0P%qo)sJ#7$M+ScJhLh<+x;MA` zh7$ehxsT3Ximk`@m9m>ZQgY}zL0gF~{O~Tvx^o{{+!48 zxEuSvJfpinr4zQ_vGs=;MHg;=*7Wm7Oa0r=wSM}d-L(6c7i8s3p0@pbtoR#$zC>ZN zPv#?8v!#vrhL-c>{-OJ=O=N8;AW`70yRVqpe;irg(C;U7yuZ;8udJiL*eLi8vUS<> zcX6;)_RF#yT!a!s`~+^C-ie3T`m%M8T5AMk#wKF?Wm|0Q^2foHh zGSnJro$~*)_cicw71zCI?%rKp$!qPE5M}{K9tmMYaKqY=0I7mULV%zI3lj64dW{J& zty=_yVj2?9%EAaD@IomyDUFwp&?c`*OwyOM&4;f)>Nu@~4e86zX$Wk1l%$CZYZJhL zZN2}Qxx3nxZLqD~;PmB4J2PkI%;%Z!Id{e=+{`4z3zhjO5jC2!poDj>^9l`w5D{w@ z5HQz#fHGuyGFD3n0GsjM&6vR<3jRE-n;KMP$i))8)2YYY293|%NJ_Ft3kVf}5l=yb zNU#ahLo1G?R{F}VdbKo@f^P}mZOQWBN|#Uq?_i`h0*=zD>r)8L0@F+hz^UR(`Frw;3K%mq4l^3BPB9Y99VrHygj_PAJfnZXN- zhYSE;)_1g600TE1AAO2nrwilCLb$i4%AFV znU>o!yju|cpk?p^;kzm6a;SqmY(Aw)Dxn(T2Vbasjt+2CgqdxAlD@kf2H;j24n&MSd7A%wz*!e>`Vw@9NEm4w%j7|0}E zE)2f3+4E_&m%u%GiPZ%?`Z_{3?}y+>t)N4CX?25+#$`fZOucL6;C~e533Hl811nTB zm+C%~$Nhvk=egOQJ6e#TUk-t<9IVfJ8OX^D&MlJ|YWpIv}ms4e!^%92So{+ z0}fy!kU64u+&b+flp0EW5@iz01HI@H&wq4}S2v0)AZHi!s}FeoyairlV>;8g+OWsR z<#V`FEtA+4a_e=}Q-Ii_jb4%*H5g6v8aIP@)YmUTQjta>8#vIyy^*Igy=%eRqC80k;?lCqXBd4XDWwg;Hqt6O{MhBlzm1jfU24cm6DtCyaN~a@
ddq3e=%;w6$&2JD(3_e;eF(`0c;IjZ>;;V1aUtjTK^abXA!b#KK zz+MLTsuPDdLYXI96DJ;Cc?i!SiQgS12~j=1g^&dzA*z>nBaI6pbF^d>m->Ai3;qI$ zH~WphTcjb3juxEqaH2Vj!9yiX`g)2IOIFdF5u$Av-rm23&~j;XE+Se|Ng86|fXLS! zMkKjwC0$!US>lH&Phy^tXfnMa=-C$YAFG!_<0}Uv5aVWv!AHkQ40aI<5Jqt!A#Q3# z8qEEKV)aghv8oin1PuODBk$CaseWUOZdxy~v{`X&RtLwaQ!-7w1`Kf^Y(^>40xCvFw1 zxjf)F!m>oKVxR-6$qn0|c;T_uR&mxD`X!3pQ|NVgT7@gBF{YoT^W4~#lo~rb4LNry zyJFg$0|mGmhKxyS^OAHbnzDzY)#jc3aLWZ5anH$==mnn z(EZT0{KfCYtzrr}t6Urh3>6;X3mrQaPhnq#m9TYJIf>E|E$j+|?4OQf4`jc5WEa@D zgR7u6PeU((NfMY>?8ci+0f7;?Hn1HlqWVZC$P)r|rxHo9P^@WdIT6oEPi<_FIfZci zUQc6PU>JrKwg_Szm~wj32pj9Zn8T471v7DyjB#wnP-G*VEd{Hg3rs~O`>Mj0T@DrE zC%zN64nE{Cb6C|IK-X`eUdMPCFyOSCiVm~CH2@!mhIrixkN$8w)|{(IF`4GA4+%?6 z?Lf`qh3&%#h?X)&4qgppP;1c|9XL80$Qx1A(JYkg$Bpex!*`)k^&pVkmUeW?r#3{z z%#;RU`x+GL0)nKXk{pCv?_yUIOD%7cggEpON%Uac*Np?MjRlJxlmnyq>2f=g!Sb$RuxOd$+YMHSM0dmkKlen`hgrwd)}iR zYwNFpYtxl8+%zR)kC{tqI4*|7y)u=CUbrubk=2jQh-w8CU~)7iZOnyPr!0mcF;%u9 zgH*^J85sMCDnGC7ON2ad033e^C7wjh>jtKw!wg#oTN}7x(@B|<53n*L-tWsi03F~G zT%jvtR@|lF${xuY0)eXuD_~vn6xk4Ch)m**RGb?kWF=q?l+Iv-5(+AVqoC-192r8B z?ma+}Qf`+l>?9;~lD%9H0NSXbf_SAh#nV2F{7_lBsoJY+Ta zSWVkjn67YI;N~pOB?mzMgoJL#Xf|XOSR_;R+?Y@h1au7CoIwrGV9O(!0p=R|q(Cv| zEene{KqL*6G8=Rf8(rpk5%z@hdpM!c@dp;W>Z9aH>>5RRgCBPAuO)Qi3Ral{j==9{ zmA5)gA}vx6S3I^n^HaXbKXw z7NAgy&>)oD2@N4(@Buj7iU4-HrnE9xgXBg5%Rz_B8#9$OQ1~9k0Ld0@ro;xFG_R~= zKl&|=ldj=3b6VyQv&I-naK&M-L_*Qx3<}kBa?nCB)rI$>D}f2i03jl14x)RM1Gk&;!pf$4M8*3-8qz$<3snGR-XVWuqvEVv-`aIpG z)_42Yu6Qk&wvPVn)_WF4?izT{-)?>Fzq)?p58`gax@We}{?8RM*07P9o0k7kx9)Ep zfKcdFM~Ro@SZyM?qK%&dV1IJffm_~lt6|XR- z)?VH?6+D~Tkts0EMBzJ&4whR75u*mTNGfz6gqnwn=5htbkfpe`!xcYV2!u+`T@>6E z4(;l@r{Gix-I9ZO8lUbeZX^=(YwdsrEu#niFd+6rV5wf>jD(#IS^#4~ zVuxi5kAE4{WF4nCF=USj)5l^2u8=lFo)l0CTUQRUHevXupB^l?^gG9?k!L28Oo|fd z#@a^#?eR47v|V_>Vq(u4^C#Y2m>s`gITg3 z9P}ag(gqbQOoLG_*srA&ly-3UBi9Rsb(LqjOFu^Sh#r$aETR;ckBB@?y=h4KokvbL zx=X2bBf{^)jWH~e==n`f8Y==mU_p!)RH$<@ybh$G9u`Uxstl}HGy_>Qpj_mQ5#x%) z4I(LQgOO5Nl8LFms>KcC68YlPb>ipZ_DA#)NU_(BY+yn)IP9Q<)bUQf+mGjlvcXlF zg5D->JzPc(Ry@9Jp+3j&;L~USA{32GvkQJ)YH`TtxOl7aUexgjSBC&gU~;sN>mA#8 z!G!RbvPH6rID3_8-)^tg?0Ss{#!E>-3Y4yy1f7+nWs0e_i)%-jBMi)u5`bF*^vI8^ zJXa0E0nJpD+>OSkJASFZ>dd;^o*GOpeZ*gJFHhIvEQLM{wOt)SZA}Vtv#6f%Fd#kON%qlNg$kSD_Kk` zZ$x)ND5Hn&a$)u<4N5tbL-&?Dn~qUl(9m{VCWq8i03va4F$C0QG^p$Z))Mggi#q^N zC@ngnxe;>k0fQGV#45r$XhhyqgPkXn3LUZt@+kMAAViS$=^ELOQ3BlYZClG(@bZJ$D%!(I>NxF&a6YPuwYq-?V;2KM(@}b&{TVMi;=R73OrN|5a)$oa7)jD-jn|oOu-6C*ja_qQKI_HAf!S z`nQ=IA$*8jFgR`L>nYmQ;zv+6 zy3dhGJaFus*P-D7eW_INqTs6su5plbkQ;PrM%^|?qA_41&<8jCWjcUhQH5R?QCLVi zII>cNOYEM~XvL58T;O%UP4{44kAJ6Lp{)sY*nAmodvdae`zXCO>YrcS7(|nijV2mM zx-0lZkM3HTQWJw|?C|YT99>iPnA&-At+*voPT>fgb=#1&Xi$&Y zILfD3e8>_2*bCahBw!Zdb0?s~GZ~FywBUksH3|V^fve4$8O47ed|)^;OQ~ZdXj0s# z@y^}gIU41nk8~Cd1E-51%BNr-3@aC?7n6t$tJ_{h>1J}5VZtgDym;*InWI}mYfFc*)qBUY-qS8nUhaJLXqodQ#BEJ~M>RB=px9po;>VuayjSzq*F;M{H zNb~@c%bI&T`tY8X-!`JbE%4ERtLXv^u4OD?>tWG?D3EBO;&2@Ynp!kCTu6A%Es?d(#s)r89|@X-(%t_U$Byq)`U@?1Q71q8GizOW;V0 z3I(EF9!s+%UfxJYjBa#j7jDNI)$e<=p!+_3Bfavpbgx)0>o8YSZ{0}S3ev}`#&Yr? z&DqmVv`)I8gs>alS?1@DN0)dm1Ry06Yv4MMCDa-ND^G~c82=-qGIV2&CDam-CuC{E z1mxH7{BaD#@p)^@hz{KtEU7uSBD3=u6r`R9Zapx~a0|CWYNXjeZ6^vN2bsWvo{a~m zZ@JcsEGc9nK<9!1;8ueXFGTI!?fJXj^qjA!GKtyni?@>BI?3~1@6R;;%YdF8$`kSf z$2$s|c3N(Y8g6NDt7-P=FhK})&h8uaD0Q}sCOQ+lwq(F9mL~+aI;pNbbMB4LYx+`W z%O@eC`jdjWz3{D3uO(3?Pxyf0mVm?{%M}`Hx6b~G?{>%h5it7r0@h~mF`36J04I4_3 z2h_XQuw0*!6tmny+rmA8U(TXQLt=0{gybN{$nPw(9OKWN=MeJiFXKYOhe_}3CiaIV&I{$nu19N3&k`Zj&R<4uIteV=M9A@hjh_1f&h|{Bd;j z4Z0JdR|7tBlWkjEi&r2oFZ3N0o@9{lD;36F=v1+E1v*JrtfjuAcK zmo?m|;Y(?Hc?r?SzkrZ|kLKw)wud=^NZuMC`bVPwMl!y-#n=*v*<}pG=$G{*hzPPg z;pnKQl^jk9xR%sk57%8gX)dG<@%(XgbSKqwswNJ8P=j|&8a|%JRe&Re8Q}sX(FrcN z_Oz{3_j?dLf<#J+g;FMu)SdAx#PWWu&l}jN(@jI?e&$n{=H@e+egvkEq#~!Mhe_l)20%>3(yV{#2Rm`$WZeDYJ>R?O z39S~~t7);=ib`@V&C+!7&~)4rxM`OD>(}1G3(eg;FzDY_7lR+1P1EkG%e&^&1ud{rweriqwyYJ5ogF68-Vbn&%yLtG6Q7WY8;O ziEmyOK%OuSce?xs0SQcQzZwH#A*PC^iRr)ieD%jI5iU^DHfe5{!Ym9I->EJ>QQ z``s_CqH6{h;+iY;at+y-YtHvY`aF%Pv(t1etm#DF%1O)6KVIbSzq1eAzh7Y>0~m!3 z6fvq)GZY=K9SJc&e1arN@orO*?tDw1#WIbd-W_oxC*6-k1sW+pANqJ7WUu%l#EW$^ zoyR93;t@B?w9U<(Y1YH7C~9L{tSX|$lFdl64FpJI##*~bYY6C7ssc-2sy?^my$S`z z#ce^MJp>q~DtlX8x(?8i^NKU~nAwkNmb#Ody(ns};M{JG)k#W>an$HY8iFN(p&FXR zp9NvGbK9hg(6A;&^Z=!Bf4l?3fMI7ALA3ceSIPEC675K24^ue^&VVCv;UG#qg?6Jb zQ3NR4JY0mtfHgE!g|~C0L8o)z9QTl;l{VghV6ze`j#B+f%AmdclSD}&vHvypWNj|pB4xjq?WF%KTr)JDTvQ}6i-r9<83em}0t zVF@^}q@Wm@;*hb$C*?4ge#F_dK`Gk;1Z)sfFS}z`rS}oAXyK_?m-V(w+z$j#kJDL{ zT$|1ka|?|GcFDEWHxfJL=#fZLb5FRo35npIkVAnYea$9&>tMTtQK%fE@nGW0r@1F| zi>Wh~)lqhRum;@9AZ^&?;na7dxH5VmO{k?l%{cyVz&2|B(8f-5abQVQa4 ztK3d?gZPOTC-aat z$(w(7o~^3|vL<(^X(Z2K_Mo&C3gRyG{anRef{BkNJUDqm(%l-aU@GvVMc;!Y;i`r1 zV`4sS;9@e6bTf{I34T*-0jCf`3hZOR71MY$gQWQ(DZo)_e6*!ie2+2 zya`1(MhpS_6BxWWj0Uj~8VF6b(5_HyOF1I}g7J-8BtQiq;$9bt)TE4odM;NI#J%aE zA$9;rqgF{tJX?dd#c8vtNB(;&Qfm8j^c4oQMRkiQn2>N#SQNh8EA9nd1z7<=$rU@x`vC{`IJZ>B zSuqA^H@wYdO|^(;c*LzD9A5+JPm^733mHiTDwR#Lu&L)}z`*;{02eJrV|Nx6RRi^% zZd7|Xx)K_|kwzmY%{sSEh90Yd&H%S6kfI(SC{z(|BT!Rx#$k|4lf#!Br-YHI+2koG zh)~NJgECEgD#h1548hp}s-SanN??4#i)+%(p$WKIq(tN(CV=0Q3s|of%s96OZ7Z@% z(Fqz-e=mz2QT>G6sPW|P$pejN{p0KFlb_A3zxQfveR@%3z4T6A@!FKt|0bi0>*A5dRg^xRmeDV%j)x{dKShF; z1O=CW1%}`~$LV0Q)=s*Ku8Q0?kUT+uBbX{EING_b`>t87H{AIT5HD=I{pdR5w@S*C zf2#qv@Oe0njYyu@fZB^%=l5l^-QsF$JA)3o7egp&+x4Hqb1bh^dvC zPT2rj5DV;|_b$cr!Z;S0Ohafb0xdM_Xbu_YFG*L!h?ZeHQw1}h%EU2A@G@@0T{I|g z#u7FJAyuRsF~=pAQ#h}bG@Z^fyXoY@qy8h&cfUj%>`;V0T6a(bg2Lf`3Ao*2c;iEA zQf$k|IuO24hDsCV*b*5*=8$?{$qs8#9rb}5YQQb-IvgYySsETxz9xx3O{$&CzS)&H zPDXx^O`M!42lFf2Wue$nW=b!N(;zLwblS!8i;K$D5K3377X{Tl2%Jyuoty*d3JRLN z4j`~(U_Fg!!!8yf46zIu?po?G?gWqIEfTbLA!Zpq!qU{fXB7kT75xP1* zhEp|s?nRuqq$5$zq$M-A9JK2i5kUvnhW2SVN_ORK54??y6(WMfVOCry6E?fSsfutM zwk8>>7$n_V=-}X9?}?8#=~pgH9{0=}_0biN{sj9%zr6D04}Dz^OzqfMXgxi#RV{8f zKL6y7X`j&T8{(U@Q>Nb2_4-|_+>7+ObEe%Xf-{!;$8BCP_4pNOde0qn-y5#?y{$W1 zqu>Aa-S{5+7k{vhUfJ@Gv0&kj?|&$vQT+93v=E8*OXp@?C!inh+A+0G=O>S#!QFb# z@o7%fF?INgyKQLCg(v1aQd9B9${-H(pU2ye`IbjoCB5RDyn%Z9KAV&yU!%znwk@N# zBt-_%{{pb$o%$Eq^|wZ;=feXo(e`Gr8eQ&UXICy3-Idc=6t2B(S@P=h3TMW$TimN6 z0@oG#TbXrf4q(FI|J-s5kyj0DSg2HOnBOXhs5z^Mzy9qe*Yz8u<|!bWl80$Es(-RS zz}Q0#?c5yD@oQTjQL)L*v4}MK6rcK})~==_>bW{=bVed0B@JR#rl!CGjs&nlXtYo+ zp%a~Y$5H(#Qh}cZoX*Q3NS`^bZ?2$$7{22;81ryRr$R$e66tF|>*mE*r!y?Tdq;7k zK*=rva`@6mb1FGbcy$qof)Nsnl!L_FAOgOPrXv_^ zcQGKi&D5@jPy~89sv)xB+^#TjkUIL9qh!jZ%P=ON3Kuul7pbpD)+Awb0EOi!ls?LA zL%bKR*rUUKk$0!O*p)qM7!JMN6wjRDQ{`$D$)p5 zIUZex=WUq1cq4^LE;AxGy3I?I5z`1eGi@t?4G%8#UJe;tg{nI_t%OheV#x5{X*LT7 zJ$jCluklt6$eJ9sHnqnXCZzmFw{2S!3S=+OTs}OmAQN27kW--x*vjqEL(n9~7Y!_I z3{%Y&j?_(}$xER=qJSKC4FnL6j!>IoB81aMu0}9uB{8s#hYA2JBu8YgD+CpfH2_ox zIJr(rq0ks~OSjRDg2`YDI7KK+X>A3l$%F7=j#QiD^5h3!OcVhYA$XWU;L2^z82smo z)|k{_4^nB)6-q)JTBJ$F^!HOykrT%~S!l$# zMIZX&%pEK-c;*e5&lRYv?&dEIU>oq6?bA=z1I<%^a+{us_1ZHxp_~U=n_rff-HJVl z|NgpnsmASv|C%x@rpb9z=3VE~)r;?;HZcG+Pfm}-Mx}T@=_3ny@|VisXzYzX`L$N} z^faB?8u|D|#<%fX1?7FaYQU{t2U`F#Xprdfa6~~DuUB_D3a@sGxV{L9##Rs?a$yYx z#~kVN>qW|mVud3WxfrM*7KXlT2tysywqXpwK3wKug-7I_&J%mltRxL7zJeXlM*&u@ zXjk};umzfp+s_8q50ains6%MqzYLq-n zw!?HWx-Jw6K{idLo2jV@*^rX_tC4MVY13J>U!gM+C)TG4g>;U)6!e~EU(~KUydP4+;HveYCY7eI*1K|q>N z#L-wMxQiGZg{t94*Gx7mX)!Axc&?Y66hOFc>O(yu`w26%o#wmjcm)<4T%`aKp}Vxg zcPAOMx*$v$yGG8fixhYQvgbrc#}^Cw_e7V!?MJ!#G9xZ4B_pCQPP%MUfmw}O+XB-hq+}-ExJQLH`>`U z7sT-$kYn7TKZ*+pUxmbABA-z^+x5H~JNZJw#HeHB3113tFXRXkj=n^j8wd@zK7vd# zJm1;)Z_SzW3g}qzzH{a}um1WVL&J~I>YsZ4o>*16^?`*o;1+iS(d~3fJ8mC*-aj4> zzkqYIf`4i(CAk%{XiHDje*h1l>*q3fYd1b!oS&7GYY1m{q*w7M3-1J z@#mm?i;OHI`)A^gfi3#;mZf93pIv@MgsI{Qd*@TuK-e(+al%oJy8jP+@Djx7HVTJY)b2AAw2MU$5m zWHOGGFP;6qv~1F(BDh5VCx<*-Xl8+n$_sMEL^ zY~8IZ3SQkmjif_QpW40cnh#t#BeL?_M7=BYO)GK~X&NS3mnHfj-aF3MwL$)b?eGN7UIS6~#yN3WB(AWp1TQD}JVai(Kps&_S-8Y1FBN=fLz8CySnX3OHQ+C9b<{d^$WN~u#tphJ0bC`3Fny4t_<5cVOBVH zR1p+?1-L;AHP1gC#V0k2MPZRdhR@Qs<%9aI+KtVaKUFk3(wNW^nck*o^(v#Ms?;x%G2&`Ui*H*dSrEE!|p3nE;O@pz0N z^*3$)`T%V%`}E}-VTtT*N~6$bT{G=RKpjdyFG){%B7ecfKohhvkRUFs#K`3N*T>Il z%bzOr{E^=qVPb#HSMzX{_jD!mI2Lwcw?FV=ZV>c>`08gr{7@RFR#P|q69&8xuwN^( zgB+Af4yB8>89j3cUr3n5BVRwrA*qFJEB??hFCrw43@G@0kb+$bHA;}bgAdh6c zYmj2@;V_D=5CjIB_ri?fOf;o^p#C}RMnb|YwEwwIW)z}*8wmSc()~SIOO}#l> zM#C$lj0?m@c%ucZMKTo9_B5Yxo4zhg6?d)3n#3RS``9ubKFPb7Q&T-yH??MMD}q$I zXd)kku8AT!=*)sKa62R;60OfdztQ|~FDkUub`B9@I5}i~li;ZWKpD()so$X3_+twc z;oE`N%}K*Q6oo;Hi#P;jb>mdDV_gp`4ip*kvGoXFc9CLGXy0vJMz!uQ(4P$aUXp#%8d3FM ztieHxgx_}9_TtwWev0meVVedS`q;PTxzg}Ts|dGIZ1-w^LpiD0^VQ7qbZCuaH1(K* zha2Yw6Fx5%I&vZ%sbmp_9`Xnd)rH`_wP;%|r&Fw@Iib|}8L^wP-*DZfl5@BP)9k4J zL_W2dru_N(86rply)=j?7XIMY#`sz5U%T+q>~T*|&4}B*`0p*)n2DdQV*!0OwHAA) z-=|~a>bBUIA6%NmD08^ZMa)HgqNaPR;PZrDY(^J)ZX`_ z_9FAV9n*XsSJ8O{RkfxgBaj+LILX~nVRh2?Lc5S3YHk` zVCts6PTh8X))%=|Oiyinw1yz4t>r$(E=0xP2?^Dupow*IbM2b-BDjBV8ZKfnf(FJ~ zp5-6g=|4qnXf<(-`}UMJ?bG%KXoV2Tf(IOuyS{X@)I6vlyTwVB#($NRhaRm(+X{=N z*dq|8+b97oiqi>-!wJI$vjs1FlBS$65}ZFt z0(r84GhjAgwS*ihl)ED|vM06h^bn*e6+JTSpcuSV2b3WNN(ov9#};8qnumt_((ncn z9<)qL;F%i0pvJTh#rOcLJmqcyA=27gNd=oqz?o^ExvInUA7>XyodL~)aoJ0nI{*Ee2+nG2t$*SD3NhlEZPvn!nz zHbcC;P2(#@NEzZZu^H_Oe=>(fsmC1YM;|97?PJ`sou0DIuYD^ zPt>Mup-|~aB%ItMaDx@DD9$Te|4@j63yGpK4MQ*YV19~xY}gI<=(!5{<@b(#3HEfj zEx;sl4SdXp0OqN31IHhqmU3R*6(y`cjHhFvW#6FBIl&~VAk z!ImSn5FO+;sa+0)=`BoAcHBDBTSyvx@n!g;!A(n&2!+Iy{#Zzw#>CKz^fUWmqwtSk z6=6{gUrVE2Bowbv_d)X@;-^_!9oZ|Ej*OUM(#Y7LqZ_j2Mva6 zyGm(2oMJHz#jcV0yMs8suzo!FLy@`RCxl-ZkR2HsIL|D}N$ccfNL?)qQHy#VpT=kI#HQLMtX zfsNVx;ySw8y{Y35bUKzyH04f@i?*$^=(yEs=h$^kKT0-6uX|xlYtP1X^O0QQXf_{9wTjypJ0vX+Nvr`6Vi1Wwg~`#u zUBmj%rvC7pTRqh}`$v>dO{S|CJ<{B>Vt~40xPdT^@ls*#?zhq;)RcNUTl;a5&C_j1 zMgH@Qs;;+YoKr(?g*6K^K1i8suxJoUu^v)?r_`vNUx+GF=fDw%B?=>%($a}CnvrSl zHYIAlB09ohmFJT68V1`>VdFwESM)i9if3u)#kkNFW|(Q{m7qdYps{BWl%_t=;KnFB z3ojD4nHH0yBSQ<*?wXv2W{s9ToN`H->gG3K@yC-|jZE-Ix9FPLhby5d7rVk3YlU)i zK&uAd_^;Y=D~(httZgs_6GKF4r_<%05LN&`I&!r}#!cCfgQ94r?CB0l9-W$yl%pO# z&O632ixv?;pj0=baJcV5u=9oIMd+g#-VlZ-m5BA>2HQH#t$}c=SUQRV8iFnwI0XU` zNgaU^6#zKbBF!2}qhcz?A{6I&vV*?{Mx23K_t!IPZ&AHNT{(8;2ivxVqSs^4ZXX! zn7jV=Y{p%GUBeAobM>D-3Fz!y!(x@E=lpVqGdd65*-TSN0vE3jEb;u=V`UZ=mK-ZI zch78Wnh+wo5tg`g?>SlK>W_ZYU@T7<`kDUmi|N9S8|m3Ecy8ed8NDQ%iCynF4}#~X za6~((=jI-!bzgYEtA7yr-L=-CO`hKqJ^~zuGf{2jd9`a>?~gOLyl9;8hIC3tb3hB6 zVBy>r=ud)MqhE#8UwA*^X(-}7-lWkjVC@#I!L8NU(K~9u+ywY$m$~b&0T(s$#enTV z3yI-++yvP0*smek1a766TacG2Xdhyi)Ar&PM;t;6zrqY5)U)U9(BM`}Vzjg91l^xE z#Hawb;A)`$p;_X?EjQ|sE4-EmU+8T3Vmed*;C*@&Q{GL8D0*?GZ;K&rxe)S%58y%P z&kSlp{ks7XRS}*(=yyyGJo0G5_6%3yQbAlD_z^}SVg|?@^DwPC6VD&#e3sm+8_m-L zyCLTHk{^L+p_nFU$uF_g9feHb6XveJZC&QBKWg}~xfn1JqUZmZPTuxwy7XJPy>PP$ zS>u3rUIKmt8}~j_>%D_ziO}qLIylHbK1bLGBH!2V3v8ZL6wPAWYt?sC$6K zRRu_H5`Bcxf*BVkeLJAOnM5z;U$9DEo|3c~jc)#f6umr+TMu)z39cWxu1I6`>*&PK<;?wc zAqx=V7Qwo%Cym75vDm&F?J1C;E2e8xWiV$PyKg(Sqjfv8L=Cp z^bfYBscl4BBB*?zPIwi@K`NC|FlHIV4NTHJvOyL<)Nz6#i#NMDK#p%w&9A6e% z{Ctgu$)%2%_|~(|C%(QlxM|nv&a6q#)~(zWiA6437t)EP(wHDcqa&Rol;R2K7^FBZ z)VWVciX8ikG5hM7-kekYaf{{a0B$yJ(kZe5>(PJasyGJO;W0BzmJLQW514QI6{1<) zY0S9pub>11O9o|Bi)!wV7+|ndPUyZg!xtu-mEcB{vCu{>O$b$z+W0*!g=EYi(e@8m z___FecFe!^aPi+Mqzb!Y<9Z~EwhSV(ohIaJE1zCleQx#4mq?~hC*nh4NDNA?fRa;m(Mc_vI%b=hBB>0; zG$kEjSF>(2WIRg%v$mLimcO?IAOWk4;h+`I&&H3_?UeZ}>7-60P05HZs|tyu^B5%s z`8R%u`}v6YRWL4&G%_D~;nw1qI?i=s=r?b!0k>>hfb1vsN=@<<@h;N1jsummyl(-< zHk9q>U5paItV#$BXaN;Cbu!8~H!$>?AP{VL--59`NClr3OFZ>tFCz5Ed2YC~zXMj< zC2dDj8(|q0{OaQOo@LfmF+N#?o{$r!ESrop7+RI$F&V%GF$SgK-CFIH@sQF6RrDr{ zhEOm18egvC$PN&7RgSoc7Y{xZ4%vdTpj}s6teu&L<$Jq=wijrK(bWJJe7hEH3zb_F zvIQY#MR9&jr?#RV$Ekhl4Zk z++HhgaXKV!nQ_sqv{|y~uq}0xP$rd5`RdZNEB^G64~n?{4&g|CJor&*tz7LuB>HF! zhaPRg0W&_ShYKotq=(z0d%pyF;GoJ#aUlZ&;9rx3wIJJ!jFAwy?{)CAcN+WgnlrZ- zL=;3fI0q#N^IO6X)iC|&T5>CmnkFz6>}N3)YU37C+4&*azUgP29L^Tf$UTmxQYa|{ zJnLfDA`bsPNd$?zym%GMj{0bX+al^c%{PiDVqt)OoaFA^MIoSf20lgPw#2s#QZ7~L zAxuY<(;1&bzY$Su`@T5tCyVoKr^s@rwTGlw!AgJCeDmy3tz zk^mOsu%i~*4VM6eVjJ5uHBhaSy}Vq|xabVUWY*gKlG&@=2Dl$OxGIc>YeW$o>aBMq z@3X*?Gct^yF1nWKih9bh>KT9V!|HQOX}Azr85`%X>Jv$u`((F=vC z$LSP(=<%KT-i&C|v8$*r9bZLa$5qQYPzK*o;GZS~eOBX;jVS_EPc0R>cnEki4uR9r zRj4zCX8Jjk35h9fKMD7PXUQ$NWUNE4nn4e?H7Ab{I&Lpn$SKen5dXJhXUuGfqOV3C zstD;fEVrr}YS?EBK7&O?Y$t`$OC!}lWJTg(Q34-_xl-m#t?dW74qdnsmyAN128JGy zZzXp`8n3)#Ml*bov>^{cL!ihG2oD6(AOdv=PR1B?XAYAa4oGmf2(XDTW{I8ki~Gz zd6;E413XVHf~(=dOe@kZN<93cnT0PH{5Id1`c2yCy$TG)AZp#L2KDcbO(e)6w7oPG*OeBXC{9w=e~eSnuGi{dodq(4B0 zK{Dk8RNTSn@gAu^5lqc%#o9hm*~& zky-hLXnV&L#0lRB=r;P3`;v`jVTVA*13q*fXJyOOw%y1&9=CAazzyX7V-SmMNI^QT zMDI`Ht8%G(*Q|IwBnGEOAe~=gZb;=tx*}IavI8Yg)iYcYuLZXtuLXVy3`3k!mmXFG z3z9%=$-uy93;qD64lB$FwWL&&VjtXwm_j2c2@`u~z;%U6p#aTQD8Mf;HCyu~Z3vkQ zToDhK20BB%QgfuNvKz;j(J3ybnX-Z87EVSvWYL}nyCH9-D2x7JJhRaIIe4eK zL6!TdlKHxttd5ZhKvd5s(%cC`;Vff(U>+194MSK&$g+zv>Vq5}m-iH1q&XY>6%H27 z*6WZDIckiAK_}Jsp)FxgYXzi7r56@kKzAZWNk-bR7{*?@9A{$_6((f>a~DhC#x%xB zssQeOQ7!5?ou*RA3TKNfE3cFLa6e?LkI$+}+v4;nIT7ZUCel-Oa3_AqgVqK}k9j_+jgLY-pq!Y>ck-87JC zz}k75^87g_C^2bvzs8Ms@K+g;4RHUeEIJ|C^r|^OQ+S1$Z4xNgv!{BkZ+|EGicHC#xjuG=f3 zhW^Z)jZd@RYQydetJk*nPMhz&^_(AZe@4p-rZ@EHTj)m0piGbtw+QaE=p9Rfki@6D zr|6FKn1_UYXjoWz!bx9yf-=ju({A{^NSTmt|389GIugd0DkB;T;+;(J$3l-nOx|Mp z0}mgp6#abaLEr1X!;f#cm+rh?&|JQdFjI03sTwZ7m-J(HEmHJYCH?Qhlm5LbNR`5W z<);*xSD;CSFuZS7hHE~%u~rg2=PSlow5+$5+iF`8pq-59Fx7Y_9z|9{_n8;aN?zjt6#jrgx;CuZYHWtU?t8%) z-z2lgg0@8Rn<;|Q#j1p)}dywHUq_>rlv;zKDGI<`#H;w}ns#n{er8lld@V-4MTQy(ZcBC&!^ziCsew5`?6A+#~~**mt#%2H#65HYD6@IZ#T>UQ`pXIN}?YTigR24&dY} zQ(@3zDO5TE^Fr}ze$=&sF@{p_MgPtgEo-MtZa?v#y@;n}=6VSe~)4NMV(;)?t5T5q8D61vO_a8klKs2j%YC5{_|e zA0E{>ySF8-q_tnkRN7SmlZRc~YD^bJ%*+_lm=-dnN;&Ny3V1jvLIH-X5u>oeHbTN8 z5|mK@FM*KOIOf0P1Sv-)XW0dwwG`6oOuU8a4eF?h)@ljL)NEPER#dXgapJ~*z4CBt zTYNi98 zZrtJw+Kd)^E?t&y+Zz>K45zpROBSTz9UI_d7Qn|qS|g8L`vX;Skk({_f+#nmDomSo z+Dn!DiFYr;L$i3{ro)a~;qXx88AN!?xP@Gn+&Ra?Hrr@lM$V910bsvns@QdZfIuoh zRbU5(R3z@eyc`78)rUz>SjLbsdBQLs2`ksPpIlinP<&xo+iJa^*nhLuTmS8_Yg^z} zC{I}ARwX*FfF}7GHvUonKX7Y9ZC{-kSF#6&-d?DrYsgjN<1iz+=h58Sc0%JKeRt5? z&b4jpaOy^)h039vg|zn%k$&q|)|c*|__y1|#~bGc-py=4`fso3?G|lO@G@kVu>^6! z8kS3VOUCVFjn`aj5KcS^VtjuZ#IZ2Lj64REg(OT+5HAeOl4=&vB(=I$ELZc6a5@$1 z0A|$>L0rC4H0k{gs6ciuVS7<}5EO9J= zZHf2{_bD6lBG@8iybZy`+jEt~bb$$Z+HpaK=`3+EFc%v#>K_5#-$MI$MC1S}@6k&|Gl#HU&1og)(v&ybMpJ0I1^KLVZQdVJ@R=3rc zZ%qlUt)^K6u?3&BF?fbHR1g=xvBNgQz)eMi*q7AE8@|?enq9<*v2l&Shu9usHXXOMvtM^ z0Hc#ORJURBFa?&ABY9znJ7YqU0|J2`Z3H=V5T_wAxCra5aB`P^7OvzaGI^?dR_lA8 zg9qs*8bM4VSDuV!C$J%#JWoK75$3B4rOZ%ZX|FghsfZs>5(T-^Z* zKM;n*yxKB%J+KpM0Ol$Hmj^ENpz=f@ilUDI1;$Dre!TRB$1r3LwLE0s`enOzgv}n9 zB4hP~Q#qmL<~cRq-;d5ZY`LXSh@D7p1E<-cH74njHyI*aPHBUO^9ZwF!Nh2f07aU$ zl=+u1rSSuJR--UvOH7WjmW~M-{2v6BB1c+c31UtH6MIe=GSY)&NYn@XICL*-xOagG z85g6#79gy4@Tx{ql}L~GctLI6J02g+q2Q=usADDYGbJM%OvQ%Y$7B|YykKmMxV*%| zyEqgGPlmOd5EM^woUhMYz05Lj{u(MY~zV+?^s zO~~ArS~$jPA|K+Rmb3c%Ds78szS8;tu@oLj2YOBGV<4F@$X( z>|83{!cB=W%aGXBV^%N~{f0we(d__2c4Uz_G`PBGVf13ivck65ZpV0C>HH2D+O@)C zn|o7TzV7hj*0@xLWjZeL#bQLx%3)TBNRnmN#WEf1qKsq8k~qrhp;`=i-^4;z5{Fo& zZ3W4}BS_yedb^2@CM@o;INvqXI0lSEtC*~}Z?y*yQD?pAE=`I`^uPN2 zZ?0_}Out~JYgdg>q=Qe42I#h!$e(n*nMX&p;@KWu`NtFs3axc;Ww^4Je%OW0r>_l6 zJ{^mdd0#O%YT=;E5HF5W-PWKrqAl^f^%Q|0KBKxVvM@jUZ-q=wqUf8dIfebDTkoN| zAQg|8`{1*5)ewjU#a0c_=@C3`yv)Q`Ovb`G`j@R|v_>|j$x(P%?=cdb=HUTagk&s? z8RCOd>U0X@7GEGd-rGx?eskO^b}{4AuwUG6(c$Ja^yBCn6lyG*L#a!YSR&!FyAn<` z9do&;!bPsGLe(sX77(}NapaI#3|Yq>gp5(`;eJx>=zm%i!dKLXw{j6xkvQy;VzA?l z{&3dAgbZ#Qo%+bt%1#P#_F`?F9)f43xap*t zAeWwH_CQL_7m}Ae(t7HLwvb;n4w{SI*)=3$F7Mvr?V%*8Cd??nT|&;8Sn*UtVnfQ< z;XErm22pG&QKVp+61e)fpK|1K$O?k>S@@Kem`mNK4iYjP{t;I(WQ6Tg787sDCuLwD zegNErqm1Do6^_dD@LXGZyvJW3rgHEOCsZTdoO2)=#ing3h#qzWaKS4SYrqIeX*8qY zq^l1GFtWi=!N0Va3AZ$(r*81i=_P6Rb*<+>|||pqa6PyVwo}2)7CLtB;&9q9!u$%X&*)R1s!yCO}jl(_%;^xT1ZM9TbI{G zFDt8f_vhPBRu9y*vc=eRdf{{#$sRA*n~s>4#(Nf;Vp!q?cFQEd)7FIhz{7TET4_p* z6!Q%|#(|ntU4xguQbg%^t5`}2$ULP{RFve$zyJ{rndoR8qlkpT0%9?)N&dzqjU#Ee z7Tt_q%&)GnJusx`cRcmq1-}*J);`uA#hiprTCs&H<

SRR;X-&prV?5-+XX6c#y+ zykEhnrz~wu8(osL_;^(j=vl_`Z4x=&&mrROr>n=UQc3MIEeGnlFS??gMu~FKlz|M} z2dqqOH=>#Ol*9*E%iRxR3384 z*8v)ee#eu4@#X4qYkb&;hI1v~8&uKC8P`0*DlZHZvHcKA@G**CC9xI~)_a2_#gtM) zYS^?CgN{oGL^43Kx96e_TvgFrD}Cx=b_;T?^7%g_+=H6I|If(sZ*eYu$F1Kc=KYRa zzvI@sRsxlawT`iLMC6$H9ZmZo-ggj=ddKjpM)0RqTpd>}QHLH7)~x#hwIBO^TJM_} zv%Ys3{`k?uZ3bV(0vR_4uVTpWQczbO`#+dl?|x&bGFP`he^_%XWwRT(Afv}+{2GcV z+@9u+w`YlXp%<1@+wSqyjr`rgc!;?B>^xIt`^CnK@r#efv?>oF40#Lef-(Dz$(RDR zzYU2o!h<#~30KF5X*Dd)8U*QaS$mtZNRKBrejR@BdT&TOa&OB}#HP%_`c;msj8_*) zL|Lzkh}eFg`%y*uL(=8FD-Y|oRm_xhil#CB?JAfaBde+DHx*BqiA9rtE4X!#MJ_Ap z$DtLDRcHa>H^>#i)&@E6egBiV6@CYTqOu}q2UBKe{=fF_B}DSXpyPPYBXM_O!vsQH z*ci(Y(3?YyIVfUBMf4ync#s5+Ac7!Bz*M53V3fs!4-j0?h)O^NAs`;+67b+* z@f9Hmi%$@OQ9LLpYjs!uXR2%J_3f_i@4tG!4Lj3S^{apV>i3!IM@?-H39rkZth-=8 z=l}gXZSzRU6#e9nIc&@R`z{>(3Z>UL_a zTyApyzuv99aLt*EFE3mZxw*6d_m+OY_2gNN*_K!Pq&&SjjcvE|;g3B-kF9NEjQ;ZB zYr@eNt>A2dv<~TyL0V~zV1av+l_lnXA-~~ozwW)cbiLP>POtl$m4(0RU%JNrPX6aV z-`1}(jyH_7;cvh0OqQ;9ymb1)SDQ*-lk;c)=iTw* zsk8UbUiJ6X`ST;sulwZ4fA?TG^2ZmCa~i&Pa@@KyIFLSNoskF-< z>1MAveR6XP)#p!IoXnrSxbSq}llbHJ=CAsDeDeIA`O6FE*IhXB{NvxrQhMcU5tlN1 zJURK?KOV|sYr1&1*L?Cg{a;dV^V{dYcqm%7!ju*`zUmW{Pv7AG{dQVUuQEI5{VUIv z&-{mvr?rycCcfESdBdB|{EyrEcgLIV^e&eze1)+~{AMyA{h=OPH?#CUZlz~+_p#zl z9V4xqT6!P1lh!^~ys2XhT337N!cDb(#&75LzMgOnyYQUH_5IH}*LURQKb>FrR9_P= zZ!+G~!$-pLHr!XU>-%>1`i{JO-@p9JxB9WQ@R9I%`L6Hn^z|Kix#Ev~@>Xjt-`xX0 z{p@@sJaDM9`e_}KVp?e((gKInwZ~RkhqS;Ub?vd0)*&r$NL`1=)+qmC`;dmbiLR5+ zzS(mbH!<{nZew_C?dND6<$4!L>w5Ry@6prR@1ECtw6v~w-~Apvt^MwKy+=#ydiUM$ z(bL-Rp4WS{w61sG{T_YL>h*;C-St|IcH3W1crBxO2OS<;UW0CV_XX10eJekimT$0o zgS2+v%1@@{8|>a7t=+fslWF+|yEjN{_pSV7TE4;V4bs|uD?gc*Z?JoVw07UhPYznW zp3t}0+Mw4HZk=dMf#I<=rcQNPw?JC!mKo#uX^l~3U8l6xEi=aR(;B17x=v}WTV{;s zr!_{Eb)C{$x6ByNAGCTs;TUz6I*sx5gu}m1yi|4d$&2CPAHz7A|2rfruJUNbdFN++ z%leCEm&|TxIQqrh*}vs=@BC*JuD#uh*SYMeN3ZpNvYv0Z^#|8YHjI2TADjO4Go#ab z+lEn|y69qf*zEOJo(j&-xbTlwFJ&$sYU#qGwU?g#qYT5P>uz`XXqP;F_p_tZy5v1M zz1r4?$HLDmeClSVPo1-L#-_ig*m}!L$GY6f-~Ywiq;;)d+%VjR0Zi3Tf>;)d+%VjR0Zi3Tf>;)d+%VjR0Zi3WHX!C){~5FWBn| zz3ne?%fn;q5?AdiH@-kxH$M3lZZNGYjCbQ#P3y)dzrqcsb%pV6{Hkf)_~ci(!L+V0 z-i=>1ts9^G3O6`tjq_C_SGZ%h@u~;#dcue2Kl*R&{HuR#;930P+AD3pV6r`Ox1cd@7AT7 z{rA83*t*nk%9Y0d*ivR0>5Zl}Qka#s(povoNY6}bq%bRMrL}UFk)D~>NMTmiN^9jT zBRx~sx-&UCI{mM=9TxcASLfdyZ+Jprhocm^kp)KZdcx`Kj=pvyclPja z{1I>L9{lDQo+rGM*lysT|ET@g+O21)(*^Xe3C|e%ytUh(#;?pDT5s)^F6AyTj>nd} z!QtF`T8Cpbmd{&t%oSb+_yLt;w!;d`0d`A74&Z9B}Vvedm{Lt@o4rgu>*&hmn2Z2W722Kly)rh1sui z-7DNIxax1bENg+TMTf5mTjCHFNb3-khK^|sOB}-QX&r*n&@ruHi9`53twT^6I;J%& zaR|R3p4OeoA?$iR9e?33=HDIf`6-3#Df_G+bp)>`#01aqi#Y!BGd=T!|Ga2dIK0nW z+EgRB;j~78uycj9cAjbk!L&wzuycj9cAjbk!L&wzuycj9cAjbk!9nXvulE_j{dguS zJbpc)|IE{dA2axv;^m{0`TI-ZvsVJ1y!?Tq*-!9Vy6EwBXYV|GX?WG&qtmm`Pu`oo zdvbPi_RIRW$JafN%nx;E`sn2Jj4Qns@%)Hef1CX5ca7z_jLFurv%uZ+(5mq1dB;y8 zN7LEqi*FB}JDHzx3UqYz=(!p0?Eg`p{WqQc_h2&nW&PXahPmJZUHQet_cjCjR zkAu^6Z1~hPyW-|cmyV3WOV_>mlW+Xq z2(;d_aKGPpX~Q>6r@41r2rryCd-uJkzuuX?I{S6H@q4e++NIl@UC)&=SMLX7!bZCp+esZsB;>o*!GQEA8>z zkNnXHw7zx%?$LK_3P1m?n=ZY0D=$6&^^=De&l>xwdt>-HPuKHDe7a@(yR)wzw>pPU^3dy{YekpGeJnr1qgANPzcIO<4W`#~So5eae@y>KI5~c0_XReG zH($DRD=55lUFqZtpR={@f5Y+fZ`<%?-i2W{hc{n(;Xt!*1jy|!UDrDKU+dcU-E0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@ zCjbS~0%PgXv~&VcAT2PK9!*Op00q(lW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w0#G0= zFqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op00q(lW9iYf zbOKNyEijfIO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~ z0%PgXv~&VcAT2PK9!*Op00q(lW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w0#G0=FqR%o zOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op00q(lW9iYfbOKNy zEijfIO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgX zv~&VcAT2PK9!*Op00q(lW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY z(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op00q(lW9iYfbOKNyEijfI zO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgXv~&Vc zAT2PK9!*Op00q(lW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y z(X?~|P#`TZmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op00q(lW9iYfbOKNyEijfIO-m;L z1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgXv~&VcAT2PK z9!*Op00q(lW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~| zP#`TZmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op00q(lW9iYfbOKNyEijfIO-m;L1=0dz z>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op z00q(lW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZ zmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op00q(lW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w z0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op00q(l zW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@ zCjbS~0%PgXv~&VcAT2PK9!*Op00q(lW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w0#G0= zFqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op00q(lW9iYf zbOKNyEijfIO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~ z0%PgXv~&VcAT2PK9!*Op00q(lW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w0#G0=FqR%o zOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op00q(lW9iYfbOKNy zEijfIO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgX zv~&VcAT2PK9!*Op00q(lW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY z(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op00q(lW9iYfbOKNyEijfI zO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgXv~&Vc zAT2PK9!*Op00q(lW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y z(X?~|P#`TZmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op00q(lW9iYfbOKNyEijfIO-m;L z1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgXv~&VcAT2PK z9!*Op00q(lW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~| zP#`TZmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op00q(lW9iYfbOKNyEijfIO-m;L1=0dz z>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op z00q(lW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZ zmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op00q(lW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w z0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op00q(l zW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@ zCjbS~0%PgXv~&VcAT2PK9!*Op00q(lW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w0#G0= zFqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op00q(lW9iYf zbOKNyEijfIO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~ z0%PgXv~&VcAT2PK9!*Op00q(lW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w0#G0=FqR%o zOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op00q(lW9iYfbOKNy zEijfIO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgX zv~&VcAT2PK9!*Op00q(lW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY z(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op00q(lW9iYfbOKNyEijfI zO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgXv~&Vc zAT2PK9!*Op00q(lW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y z(X?~|P#`TZmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op00q(lW9iYfbOKNyEijfIO-m;L z1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgXv~&VcAT2PK z9!*Op00q(lW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~| zP#`TZmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op00q(lW9iYfbOKNyEijfIO-m;L1=0dz z>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op z00q(lW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZ zmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op00q(lW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w z0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op00q(l zW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@ zCjbS~0%PgXv~&VcAT2PK9!*Op00q(lW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w0#G0= zFqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op00q(lW9iYf zbOKNyEijfIO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~ z0%PgXv~&VcAT2PK9!*Op00q(lW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w0#G0=FqR%o zOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op00q(lW9iYfbOKNy zEijfIO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgX zv~&VcAT2PK9!*Op00q(lW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY z(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op00q(lW9iYfbOKNyEijfI zO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgXv~&Vc zAT2PK9!*Op00q(lW9iYfbOKNyEijfIO-m;L1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y z(X?~|P#`TZmL5$@CjbS~0%PgXv~&VcAT2PK9!*Op00q(lW9iYfbOKNyEijfIO-m;L z1=0dz>Cv=w0#G0=FqR%oOD6yY(gI`Y(X?~|P#`TZmL5$@CjbS~0%PgXv~&VcAT2PK x9!*Op00q(lW9iYfbOKNyEijfI9kkwh>g|I+^}<=Zue>o_^xW+9@cvtG{XZ0m&7A-M literal 907418 zcmeFa4}2s=nK%A)PiNA}W;dM>Fe~d)2?2tKce8-H9D7i^L=1=;IRC7F?ic|TUh!Pe zQ#|yg5^@;01p@NX)zc#&+##OlqVK8ii83s>uD+|g;{Dk>6$0|(?i6+SbAkw)-}kBR zo=Gyv>`c-<(=%HI+g*R2dg`g~x2w9k`kBtm%tGPz`_jb0J>1i0qO-}DTY>Zgh;w!~ z{++DcQ#h`J6`X@gRV9O};i;;+5ekRx2j>ViSEVXKGeT8IKJ7CYrp-CY2)t~>u$5$f z=GwLhTf&#J1L3NYL7nhaRow`M!}f!7gqo{T6`>hK7aZx2Eq9*QCP=C#=)=4&yzQTw zb|!2>whn(!)3P=1x@?BzBKNIk=$aW@jl`m1tC84hLR*`7CTwE1oaXDBYPv3ofBJFL zt_houEecogO*poiZfKF%I^DEmtNGX>v&v{BQVm;%twbQ85@AK8Mue-3NR=98F(J^z zGogwJvO215;;|*`2y0ADfAzIZyMi}t#dOWYqE^MCwXRCdOjuX=t8Bc9XF}ye5qeWr z2}~lzpX>TV|gyk@UC(@^9);a;7EAFu$6Fv=9p*Lngd6|6NasX z6Ew#>!`2))5}q(@C7hr+<{7r;z>)Ao$W{~X!6zK~C79RD@3)o!N7Ac=V=L))8v7Ul z!&W0*2Zk-fRwE(MNVb}>W!P#Y1RBX!Gqwy{jf6lW*&2?mCj8=EBhgTqt=`4W`-`B; z5NIf=aBMY{(Fd)uhOI`T-mqoZY9s_2$yPJA3|ozaKqJ{|#+G5Lkq~GkTg})qY&8-B zjby7CTZXMhLZFds4ab)8Cu{)Lj6Y!mkW@4=99zX46H6`vhOOjsQ)-!Es}z&u<`}k; z%T1|ehOJUelAB}LN-j60mKnB6F-dNYVJo@Zlv)bta&?=EJd7T`ePB z9|R0r^}&5KWW<*3>)Y(<4+ zE%X?+YJqZ8Z5XzqLb4Wm3|qB8IjS}cTTvld3q6LdTA&?> z!&dZ|#;4J+6(6?IYc^~}k7;}wL$-`RVSE@zrrG!tMh0aq6oq4}7Hyd}mWzO4Yq<#4 zvc6%fmS``xEyLDw5v*l>!&WWPUT#~4t>q$E%ld|`TB5z&whUX#MX;9j4O_KDd%0~H zww8-vE$bV$YKiu8+cIn|7r|QA57{#QgtbI{iESBw!X*M%pK9UQs!!{t)#?y1Y*mL> zeWeXs^~Jxs)(uuU;L|U-LO?1UiFm@*)slw_2r?W z){Q@5MKC2rC>&c!vB%g^4gtefIdqa%X4py^BjxNdY?VVNX=R43q%l&?9>Z2SbdpwP z*h(5B@jSWLnmovAzQ|uFlo#zV2|-9 zTmY2hW`$!bxfUABiU=6CidZB+X4pzTM@0(_TSY9AA2VzvpQECMhOHtN$&VSflFw1m zLc>-Oi{!^bwv0bv^0^}8PuKt?6-^AsRx!uKl8b<0E4kd1T4vZP#U!~ohOOjsQ)-!E zs}z&u<`}k;%T1|ehOJUelAB}LN-j60mKnB6F-dNYVJo@Zlv-xkD#awZIfkv|a#Lzq z$d>UZEX5{qImVwbag>xZC>&emWK3C75HM^dg^5b+Fl<$VQc`3LTS;M}5<3iAm7tUq z8N*gmn5e`K!&W6IB}K-tl@ul_u_I*5_!CxwR-I*xKVh8-sIK{NY*kmwNY@7e!&ZH8 zUs|h%t)<~spIU~k`ry8_Rt;NA!>vBG3|sZVeQB*4ww8uleQFuD>Vx~zS~YAf4Y&H# zGHlfc_ocOJ*jgHH^{Hjpst@i?wxU9^7J3X@wLm$lHbS*{~HortxVsY{iFd^qLJ@(PJ8) zM#ENo*ha6}uoXR~@o6+{#fNS5nhjggV;Y}E!&ZFQMz7hh6+Nc$X*6ubhi&wl4O`J; z8lOhPR(#k-ui3B_J*M$#G;GC(ZS*{~HortxVsY{iFd^qLJ@ z(PJ8)M#ENo*ha6pDz?Oe?b{3Hk`bPbOI2vht~e)t?gwUcn3K6k;;8p7RA9ep??Tx| zcs43kp)tGS-1yFSR?gPkn$3|4J<8RB-C0iQf(nRyqt@wL&_Ib_`olpjay%AzPtG zNUhp6?JNNS^X<f72Sk+(BuvLE!D!0I}RXJAmmo#kEpM%ORFl<$hRsAImTlMFlatjPwm19+Z$&fAM zPgs904rqb#Cp;iTNfHRhR+4Nowk(E#VQVpDl9+GUN+K(Z*<{#S44EY68@7_j%3?Md zwiZJsiTQ@DB(k!YO@^(-kV#^G$d>UZOd>n;Y%>0Y^8iV9G8|jUw$fPVBVgESq$|O& zW!P#Y1RBX!Gqwy{jf6lW*=oj?VXKi4Xe3+B*fMN25(156s~KB{twutik!%gemhmTS z0M?8@VFQpH*t zwn{NcZjNCqx!jam7P4jh2}`j_T#oT4OdKWU3<}3qIT=%y6a)-gNnxTAI}BTupp+CD z!&Xw5sKgG#RwXDUMaHm|6ecRM!?0BeN=cD1Y$b(>O6)LfRf1AdWDHwLVWJW{3|p0; zloT1mR#KR##Ey_H<4;%#T6LB&{)BZVpt|P6u~l6yBV8W^3|sZVeQB*4ww8uleQFuD z>Vx~zS~YAf4Y&H#GHlfc_ocOJ*jgHH^{Hjpst@i?wxU9^7J3X@ zwLm$lHVj))Az2GOhOJtl990{Jt*DT!g&xCJEl`fCjgT$lPZ$-VG3qh?gfW5}z3Omm zMX%Xtj2;2QR`i(0r_rz#AGXnJHf%+YX?z+DTk&BVy=KE!^q9t{(XbUCw$W=gY(TWxj6Y#~7)Per_!CA3Wi1qiW2+WznKqV-fMIL72-dQ`VXKyCFSjkj)^ZW7Wqrd| zEzw?XTZXOWB3R4%hOJtnz1+48TgyeTmh}x=wM2WlZ5g(fi(oD58@6hR_Hx@YY%LeT zTGkKQGX8|MM16^E8Gphh0#~1E;n=EA>!#J}5HM_2hgW^24O{iazq-~9Th-xJUunZu zeetiZb;DM5c-2?huvK6Dt83k`RUKaSl@8f5{)F}Ap`zA}KVd~MB}FJ4TS>9U*ijAv z!&W(Tl2&HeN*W{O>@jSWLnmovhOMMAQqCU3RylN%R%X~r8YAWGF>IAXCuwDdt)wwh z&K|>7Idqa%X4py^BjxNdY?VVNX=Nc>#-A{0%q(D!@h4mWl;mcGV=K898q10Z7`BR7 zBtK@@Npq(V>vhJQ3AAT?u>A#B7CKY@%}$&xB3HQrMLyW=n;A zY@Txy&xD4p=DAE8vL{VE6E-9t<&AD)wp96^H)5%|Z#5EYP0Us!(NLkSO*|7;h(IHW zg=`sr!UkZ?_!Bk&NktRGu~p1znwV;uo)kLL#H$Qh=w#DGzWUmxePd$SYNV@FHIlKF z*=lCO%5X}Cl$i;W!9!(MG%;JMG6Wh)s)=X9TqE&NnXT%B{+iJE6IO;-U8RgaVO`;` zw(%z3%cvHL29P&wH2_Hk6AfDhC>kJQ*lGZh3MLx13Q#ma#IV%>Bo$0FY!#qrfQVtM z0Z1yCXxJ)1(Et&{Rs)b!FwwA8fT95+hOGu5sbHdEs{lmJ3>F!-VgNNZm4>a@pp8MXVJikuV^e9^iVfNr6dSf;05vw1hOOA3 zjX|+tD+W+wQ)$?W4cZtK8@6HqH8z!ot=OQAL9t;g22f*DY1oPl+87iYwqgJ^HkF30 z*r1I;v0*C)P-9bR*oqC>7!-$WneXbx0B+PO&3AR9Ml@D^;n<3`5u-dJ1Pogd0a+6@ zhOL^w98n{Nt%!iEi5kOJO<<0w5yMtQK-NT!VXG!EN7RU6DQu?FRVUz=)U07^NwC$a zl3}Y(z%Qv;!`6~ut5YSzR-J%fQnQAwCBaswN`|dE0l%bX4O>fstxlCfwv0bvod~F= zS>sPw4O(@V4aZj94KW5(fPi7E0+13TV%SOy6%`m_*s1`e#E2NS5<^7=h8VUg04Xsd zhONXtVTeRSoWrrz5XK(#MjEyn=@Kw(8MYb;fkv{`j4i`f zBO%a8wwkeJ*lHvM8p+mhY#D#T24Kzj6E*-zMH9oZRm?H5p*h(%prIs1CN-;@p zj$tdg+>}}tvSs`UOR-5@j`1f<93|xp3ddGC8B>-N1PohAVWJW{3|p0;loT1mR#KR# z#16w&B`76D#;}zXCMvPRuvH04Ns%#ZC54Gf>KDaNfRm0ZOaH~%(!&ZH8Us|h%t)<~spIU~k`ry8_ zRt;NA!>vBG3|sZVeQB*4ww8uleQFuD>Vx~zS`FDU{)9`zucoz(KVePbUT$OI*jlb0 zQ@<7n7`AGGa#U>?wxU9^7J3X@wLm$lHVj))Az2GOhOJtl990{Jt*DT!g&xCJEl`fC zjgT$lPZ$-VG3qh?gfW5}z3OmmspwT3jgcd8>isi1M6TEA5#el#cZuHrTLA6mCIRfGHR^*C}!Wa+;*)l8m7{HBM zrST_>8qrwwg<~t$MvU@^5HM^-1Y}Lr7`AExb3~1VY>9~U88vYtF!(R#c`HtQqtzXb zE%U}CT3qANX5N^@gKIom!#5`JXg6A;MZnC2(c&4aHseniE4)!F564#2dX2s~5HM`T zfofbj4O?*`8;9N^TPhCqMrWi5{P)fNUWR!(juh6Il!Z^nF{w9dBSoM%6N*Un8f9@H z@RRe+u5KK-#-lSFTOuCyMr))9m^UVo!Wpx&aBSHzw`0^tfxt&EF!!w}aIB4vaBLZW z!rI`ytX7Oa;j-YXb>(nu)w*5N-ck@SY%K+~det#()eHHhv>UP|mQvZ&sWAfP>A1## zFRQt5Y?(JE%Yv~+mBTkC=6S0|fG?{V<4?FO_-b6)%!D-td^yb>9R7qIm)xKk zTT6zn4i!VT%5Kio!7kI}0tgs?!UaG{ZdN$9l53%{tcZYN3s`tXJPsVI=Ofrj>&%+) zzzofnsOPV7{`uF2Evkxun!O&GeTf9|Up!^lS^$=WW|{j|LWx<>EW_4gM3T!o$IqK8~~yMsTfa(eXim) z;c#fiGR%^pj!Mo|30IqwZB}U_kOHmwwBhE znp3T3lbDk(o0tcVGbYQ5FH~4ip>$n835@MgE$v!5ThR!TTo}j)d)$^q11uM?WqG7; z7~Nz`A0<`g?Z*Ee=uaLaD5%PDRE$t29RiF8@H2tHBQ0Q$2nVo z`U;|n9O4rH1pX1VVj5&&<&v5$j8Yb65y^*m!ymt)z*f8sB~CkI?(%?8b4-&wm@osn zL$k9TO&SY>tzv=XC<_;}VvT+7lhw1;C1N$HR?3SgtJzJK@TUtc8l5c^#y#e|cH!_d z7GrDP(+*6M+AK@yS$b(E*6f!~v&_Q_DTO4+(MvebmV_+ahG-T3d8wTBIF}A~jtWZW zh-e!BAiOF^bOZ((gg+{X)^H}#4g2}nLl%S|fZ}PS@W}S9oIb~VxM$a5Y{AZjg9>O{ zxU_t3Z7;=gwn!A7sRfh`B7?y8SyO&u6GmAOI2M?0^>Ln_`W%H^ffT}EQP|Ij<@guh zSd6Vjn^PnfElXlSJNX7nYGLzSaD&<5_`p=hQpmvY>usS7r9_ZT)WXqrUsy~P?oDTe z_oxifoy9A9xKJ<7h#mYb@zOR*FBVlQRBet1rrJso%NHTmifu{0+s1pv{Vu!o_kChc~=77+WV+rr4I0txU31Y936b0!z*DY`LVWYyDc`)EDRolR;^y zITW4_GxXUClCPMP8&~4lfof;M*f5W)i;L?G7E-pFBNBFS-sINr3)y0>aFDFY(4w_} z5U>^6ILDR+64?dvD$SCW3RWrSEOCOx9Zd%noc&X{#Z(BqFrYonkc&k-_s{V0Xrn;mH(XCrxzC3RnWePI{xRfas9M*4JanuIusXJ4H6}*ov+ish?Q+Ob{{DK_O;Mk}B6l#$^8#JiWLfHX8W2dFMRWl% zU-p2aVSZW*m+wLggFgsotbjZS#upy`ztypoz{$J>m_(XMVv6&{+3xUw*sb1}ycB?_au*GOe zAF}X<7Og$)%8X2&MH!EY|LMV~jW7k}`yvt~>u zR_*Z10ufH&VN2=EtUiW^Ot5#G4m0$*D&g2V$fmsdN7aoj$8x+wrS3#BPAZ))9CY!@kV$J^ zX=;5anBz!F@Uh_D6ej)58XTWEvud_#U{!TZ*hRm-#(W&CxVtN#teP!PRII%=f=;@4 zvv7)0G%ncy#RpP&LY6rDLydE01;M2pN=gg#2LirWy^h&$H$GdbuC3ur2)NZOC}%^A zI44W^kjV762Nx(Uj#?HgR1TK3(nuJX%e;;?jPrdo=uBOG)&3HPc=PTQFAf> z{wSkvU{QT?--%7YmfkX-zs0v`pMwJVVjaN@nnffN$%QWRkq=e5$s3s-h6SVS`^gq)`uTDs4$@B-X9g0*9<%H z==YjnZ26EL1jh*8Mm8u)#gQ|o1B>ka6{O{CXTfeRjq~g4XcUHN= zG^plk$@$6I!gB>?r_}63T=7GH(F|-^bMGbx1gmGZgeq*U7Y2}DEd5hux21V?XEzXC z{DszLV5@-l5`bBJEh(3vE#4~s`wyFft*RG{AC7Y&zlFpPW`fsV)l- zf%9Yz=}eecJlA?SpL}_dEm<_9(wGlIrP2$_RS5gSm1~n**&|o{wv4qG*;-0#(ywF* z|9qh1LKAMhQ1DHSuPMQ%dH(KfaE;+IVSD(C0E!EPQbdcv)z;}d<4`d-j4jliuq=-A zEyeE>E;Q8RXfh(5OBs^FZ`)s%a9nd4CWBI6COFRe2GpE0M?J*F2i$7Gj9;Ju?}Y?TElQH9~yN|aH?m_-m+CR>YdU@y{M60;}yr;-bW z6ZyNJB_UW*&d*=Us%O5A1Ep{IsXr5n0|_ott`^B}uSqNvi20S|8v2h{(owygOWQ8A3^{X!N_|4$g zb_-udOH|qz)$lbf$(9N}6a%|yNM=tJ^Ejq_XPep1hxZ{{16QNrCa#;ct2@^^lUe`) zRgzcJ%Y5e3)y#w?wl;2t@J$a#MUeDeH0*G^`K3V;!%g~?W*zmmFiPh9b(2};(MF%X z`ru(}0MIeIl2(Qw4u=s-Ahy>}6-xc&yyHCg!kTW`-EsQC!&VXY@(;L+lm^x3_#%d{ zIg6@ejdcazCls-^k__cMVa*GJg|sZh5*!&j=inJz0zCLL;R1xn1@aP?wKG@~)^z$! z914wMQ255ArVG(V0Vi>GUfUeMF-aV*@fZ}oF)=e?JorYcwVJ;r9%;k1Q0D)ME$d4B z6*|1Y>J9$lR!Zo$=OsNZ%>)Z_Ea8LoW4M_2lZ(8~tDRTj&t7!!tO;vzg~Z!NI1|R( zN(CWo8a83Ljd`_ zq$ZOW#?qGx*T{2{b!Y47+nbjyANOWDHvdd$*lH#O8mTX4Y#Fv134y9@wX3cXS%s_q z%d9f01yfdAAu{$svAR>pLhOHRkjaaq0Z$%7ftm+I~vBDd%a>G`{fX1pWWUJsn5^HNl`LYnG-k)%J zwBqj(m$A3JZukV231#ZyZy={KsJg%(YR>W2UoQM1@qG2ccMRudXXhqsn(DadAXpPB zPrEcN@;x-+`9B^m#hocG31u#e64|ITx=*Q+t%WwND>urrMHykt9s1pSHrMX zKkTb-*|1eTX7#IK*s34))wgWesvfiY)i7+;5Bus{4%w=ww?Xw*AEY&^_a{6^fl5ZV z!?BeNYm61;5io3($0u2(hOK1rQQjKER(X7qRchEu79ZuUF>IB`Ct0P2tz_|0-WtPJ zd3=&pYS>B^ALXqvY?a3+S*3=pWbskn8pBq3e3DffvSs`Ulf}z|)`+ds#-DIOWD=Pf zj;%x*XN;PMfMIJMAc;;Iwh~RxJmU;o^8iV7(y*0idgd8t*qR4OqLYTLMAI|RIK$RF zKoXq{*)slwiKfXn&iE5H07*p?!?9J&F|p(#VAx77H>H*twn{NcZjNCqx!jamX4opl zB)K_;t>ki3YMEiH6qDrU7`BqjO{ryutx`;qn`78YE;pr?8MaC>Np6l|E4kd1S{AZp z{0U33NnDQcCrlhAED;iAwAU*)slwm7rB;nS;Wg(Aqi|<%{Pgk(%|Va;-ImB>qZ< z{<9`wX)pdb@}hq>w(K99EopFx8JQm+1ixezbHG{P&*skCB@L5O|C!{){u=TerL{@e z|8@|t6`~(NI$jpqdBU?~>;JccPWjcnWpcAVXKiSHf$NT8VP|$vek?&!&W09&`7qLv1Qn5Bm^4C)^Kcv&+Cn3 z`$1=`@h5C3%EPhMP(~lL#u~O7iF(79VXKi4Xe3+B*fMN25(156s~KB{twutik!%ge zR{ebr8VR*J*;>6nq4uz=)Y|1;11U>Y+EA&SuG^tK1+9+sX)I9i1yt0pD>#x*Sw$-q z(H4#q!DUU(BCte)KEC-o7BjPGOUC#@@qjt@%Gp+t=5{~D>%i>8)tT57o+4_pU@ zr7xR;=>^(+{T{nE*@aR+GrZ6w$`Hb&P81LDD-BCTF*mlDdug0(EpM;bT9*H-)R<{3 z9gEcB2%<>HLU9N_wdkyYLO~E2p^~imBJriSzp6^M;xi>;%>w!?-xtt9WYM6DAS``} zaEKLH^RwqA{Y=mtMv7jC#hmD4J&!djThU`xe~saqu>O`93l5BcVe7ycB}c-rl^iY( zY)K8-T6th=j6`_^e)E2_$|#Rel1ghhw#q&8BngrP+cI{%8CwaaXP#-b99#36jw)I5 z;M&caooduOsv7C~$^Px{`(vxB&ehM{g<2L1WmcD0W~-w*Me$0DM~`W~nXsKPtBQcC zgyUtTtoCQ$)_iQ0?QlaEHo<+Xq4BTIc*9n8c-2?huvK6Dt83k`RUKaSl{ReE7ys&7 zH*8ghSAC@oTlK}iy4FLsO15vRQ)8rSfk5^Cghb`t{0=~SiHo49yqhg*wruG5SIoO$ zwyz_{15Y&URP=5@vOBabNB1hO*2>3wRYso3sWv_!D1BtlGwH_!pNhI!)vSF;p_8>a zT=GZN?`5dkn6|uD1W*tCmjubX+C;9Yem8+=;ON$%_7U(_HpbD~B6@t>o zS+oV|I8Qg-S2bH@o%6!$c`=)9xmd}8^SkHN6{QshW@UK=I5|`BunLD3qPD`pXH#Ja zX@WEunD`Xny*iXzo%)(mSL;;F4u^2KFKE2$eS(#z^86jmi% zs1-|O?1M?136}#$67GhzY?ZWDf%=j<^~m_}JvC!%ZujKeWR{qQoea^cpo^hwR85-AwF5IiYykYVsw5ie5*BI^?bQ7oeLxNIKQ%X zGhwZ}Cu3M#`E|>rWtp-DEqXLtf3_MFx;mI$r(NAT^t2h8tP5K*2IN$U&Rn*bRa#ms za{>Ckeqin3zG|%a=kdi?Jt;_Fiw1<)m@jd6>S#|B5j7PMk3p=W!P#Y1RBZK z<&Le6T-n~va(j2O4`|WNzkUq9vz~p}KnHzPbq-ES=Y$oH<~w$=d$T&fBU{vnnD%*= zD9Q2%ZQuIO|26c=A77mM!gqf2yN`Y=cfRw``@Z%Qc5XiZd_{EmHy_WYR&Rac8~eWZ zPTIEn^5>^hsYAEsiH_}Q*I)8%qgzMPWDVX#MR_|>if*Dew@1}NXaza`_)}! zsVtqxWz@0yGhXjX)%(I2wf=T1b#y(>x##arcPhO)6uam|%DRf2eMAEz;&(7IB?Svv z_dJMWZ;s}Eo{f#S77=`;lh9FJ%4KBfWAPxU zuT5~$IkHdZW^{tta(Ej{c!D!zP)}Tv;~y^IZB(d;zX1wCC0UH9M}Mk;Mrn#uF2kR) z*#xKxUNL4J$r+~|2PMdNC`Slu$ZHQ=$OX>5LJ|!IKavm-QY=I*Y*VyhEr2!s^Fd;eSsllPl)CkFuL4R`VAKf^?62tGpVcoXfJQH?x zP3(9Frl56`WT(2eDlRVuRvNHd%$yz56fR`9-480H78SE9@by#(Jsgud>7@H{?iV@{ z(c#waWwKS39Zu>j_J%z)I+`EvzIDnE=v z*1?}~FBgK+R2qDAs*Mk4A)L=?*O5*{vwSDZZBWeN5!pPKPC6^nIQhXMFSo`+-4(sr z@htN4-41?U=D{fCOGI@1fA#Ro_&nLe{GEKu9+YHFj_SG2vpk!)bIeK*L>LLrma@pY zf$KUc%vk_KwhM{U@Cp|_fXTAx5PgNqEtK=ChUI>0{xK-U{E4947NG?^J$E;7sVyhVl@La7C72DXYmrC}mx)@AEkGe)wiFgRgLyD;Uh%vTOnvTI zu$!Ss*0m(=MixzB+HsjC;b^i14f#Yn(iwhG&m)&g9 z^!dHVZ2MP5o%FSJe|s_QdveXa)^?)KY=@KUW0`Lv8pcg`z8ADx&&=L0wPj`4L9u6z)@^s6?iD;^a$@ zh9ND*xPvr;f7HibX+ecGZ@()N5UJ*104y9Y|(+!@s8$a91D^@&oKj z*rIGn_N+VGnoisxTP@1vJ8ZR`*0)m5xvp(`1EuLBL>p3++xH@ju)TJx%A!7kB5O2* z#ZgLKmJ+$mEoA4WI*y#kul0+gJaz=%%W$GpT1JD+s<**9R8Md=I4Vf(V!7L*lC9$aQ^xdIRsvDkqI7PQhue>cpDhs>%$;Hk%HaEkj(9+dk)UOczIRIO5~+vlW_o zinONDlSjtyT6N^a6n*5c)~UCosef1gXlt9aH*9=)Zgbk|&+TPjioa#pob$d_|{zQhQ==e(h0HS*L4d-;-9K^^So&2+Qi*Sg(WI+|y%6A`3yY z={$+pmhQK|W}WKAUF~~Mt`*4W9>PIi4md{=K9H#$Tcd=261MjoiOZDlD7;F>geYv1 z7#2K#U_GTU>EjUx#d@qsUN7T{Raq3+F5XEws5q){1fMi1aSlR1^>mLRZncf@Eo7=o zh9v=6VY19ww2?xw+Xj;yY13B6=4NO;8pX*`QyHen^(JhAbP&)V8$Gk6#8u)_uhjY6 zOQB;C9S-}a+OgH=&k}s=vMEE|)+kna02LjQni&aPlu~3X-aLYkrTGO@w9$qz(OaeB zQ_Eyl9*gtaX>5SgK#GGxeFta3Y3%OK3Y@)IU@{6poI~6a!~kJ zbk2}EWM&u5Jg=-%pI4oT9y0T+O?kocBK0K68JlME!a2du;$#p+7%6-HLeGikhsJFMOHy?-yOCZkSj(?T#M) z)_o{Ny=NCVun@y8enMXa>*4Gw;Ve!=meFo}l~ocv!1@E5C!% z%N`CyJ?i`|ef+-fViRGqZNr91>co4-;@E1P`7V9@xqrv-@o!R{*lC@?T$T6S&JKMy zx_-tg46e!$`jyxAk6)am8Nc1HpE}ug>hoy#x0v`~=h)?JCqFvaC* zK5&2oVfLiA?0zgGaxM2f_^V8BuRQsV$BsGm$B#e#&Cjww;g`<8^Pa~(v@iY4-9Nc7 z)4OK37~T5JNh-H9vonibhwR}m{QbKw`O5C!oOEqIbMn^jUVibroRhxdI(tw1wLE$K zLNlJ-qK6X5Qg&*O!?L@CBvj!(0LB1=fAS76RxcIy%k zI~od)omrjwz2g-}+OQcF1etNs#x;VI%0jz6E7T!^8?uHrKcVc5HIDs{tI?b~Z*s)8 z`fifeSevx>(s_5daCqbGEky&}WZ}J<)_RmwtZYPJ^Bn;jPm<^j?#QHLPg>iNGlECu zA-#gPuJ*?>mbu7B;Hgq@Bn&EyEcN`Re~XF&cP&>ubF+{=%*j^4Aw^$F21 zAFyGw%@TO4k8<}$DX>?Fou7^OiY{;cmfcOs_x0kE|co<^k$?_hCwcv+ANeGaGnwH+PkM-K| zDrDTAfLAWc+C1K$U_VlF&UDZsb}c2@VA<-n`5Brva`QS!@(R7-454$Ead_&Rv9p!& zvbfI1RbaTMYz2eIe53u$?!j?R0s)4t5CqUV?&u79zxwJiC3|I;xF9WV9mzVm!JFC# zz^uJ%V#*soJuQXo?-SHDwi}hDCyDy#kly3o1eey_}AvZ5DHa-_zv zUD=`AoETPk9Om7}-{SLNuQD3l|$xa$J-diRBtNBQtW(I2ugX`Rd2^e4|X@c`7<~W zPG&ba@F#qDRqAp06JD2pblqg0mxQU@3R2e{pWECnaqm-hi$dw~XAQzjy?3hZm~BVX z=+(4o^QMg3`_!6mtM}39^%s4$YaFV+wvE1z1Z5P*qEt*ii!SGHY zx{12laUa6|MQ@+P^({R&hhnR6tRS9(}}qORWfT5Y*@A2!7>?X{<+mewN>yOl^F z*tTR9{C^=R=Z@>PBIQpFeD~_#859aW&oLt?h~Ok2j-RbkGhJ*oaS-|_NjV&G<^ZCL z^uhL*7$gM4BRrbY*$?Xt-i)yQq-xi%l%y`xyH!Iiibe5WhCR3Wk161mg`fs>FI3Q8JQPUtew5!W4ti z1Ely6blkyRg0q8wEnMkGS)>?~U{-hDb9KR><9U|lz~#o`{38Q!xq?C(n~GY$LQ)_v z3x<)M=DNreT9srohMX~h8eI^FDirYn+_)I3#o!N5q*XC*R!j)tryOo244!bpXq4we zpNN+&9u}#bJ?w1z^W1SODREkjT7u?3O z>@#XT^}M$x_2?_cGe>ki@)zgQ`9HYK9qRq_@1MNK`qi^&=<8xl>*{BAWH#L>Ggs1& zp1-vBg6AJS?62>${%x?6PJ49wn)luEn_R}4+_8DpiTLX#9WCnV`vZSMTruetm=w7s zzK1zpdW-tt&cP$PrZ4+z%%bZKJ!bTSyMON?%Au}>x~;e57vq<8EHS+4HSw~=X6ae) z&J@43Cr4n}gc(O}!az8O9h9)&vTLNQ}~;V3S8L1TTGE01kK2K5M7 z<5E9mY&xAYFp@3Oug2i{$csEA5rpmr$2j20k8Z$yZzCyoK7{Tasax0@&tjJjvW66- zq7E-z?k|E4U=VkaQR1n_^B<3qyp5cGM|9I2_9ooK!4oNUmQ89C++=u6P03I#lK7wB zn^?37aux|CP~ifQhH!K+v-y|ASQd3Qt*3sc>yZz4_R{Vx z9Y=m7v&wgRzby5W53i*YC$HUbMCy^tR^fH~By>Er_AMW?rcb(h^EB`F(i4Bvks5He z-F#Vg;=`vnpFZZ(qE+m=eABRX_m*_)HoIf>Ur~-az09#hus^tL{5CyR!H7@W8*0HmWb5N%YQZd*6op*2n0|+;m5u+6y^w9cdW-tv|LR zRy)VWDGQf8rVTu=3T$(tyPhOPnT{?;_2Y$lUpGpH^qd|PVb=Ms)I*VVxV^Z-aZhn+ z6obeK6$aQcG&~@XRDPBi zWGQ5m-HxZfK}v-set9%U@t#xToe6`^;03+%1ldEJBco6n^NODm6=p8Zu z_dP7Ab&7Ls?Wm?k=nhxn`3Qgslx5*hxKY|RqJnQm3K62i90iO~X&7M16mlf~siVeN z-`HV3*s4YG%E3L1hFbFO5C?h*X3gco*60a{fiA=8I z2dNXd63E8Yw4K~8w2twa^7MU+1r_QCL4^^vMPaA@45hGSviL1AT7zK<^MkFp4J})! zS**C6*uVDIU~H{=KUo<`$G^%NyR4O3fAZzE@7|W}IoF=duHQ;x%@fpyt*4K+S`xpM z+L6m2F`)A3lfVd}Z2NDq?3$*Pd+c}qSX%kx{#*$>T%Gcrb&uK7x=6i9O%tBib}|Om z&vM7y{4tfK4PQ!4Kig@Em%g8}Qg)pBozdy_omO_=bIx88oiF)VX1cePS{~0I=U|Vq zdyoCP&7D?X?#5O3tDNn=o?dm)_0*Tktd-EYrq!Bmxst36StJDh=_{b`-gRrl#1`7{ z=I&`L1?ReT*j~)jDX+1n*`F{6cYFja@*@tJTWY{oSBoAR7Opp7ox&_bS?q+4VHUv{ zvWL+piC0KUWGw2I3iC_QOX&{OtMG$KoN>O*!BJ+fwWWs0W49hO+Bph5ox_~)gbPC~{6~&%SLX!PZ{%zNF%Gy6 z9VbKX8OVdM71rfcl;N;9)Pk*yMjN+e=R_Uta{ECHri!c47d*A--f_?Q5sM#?AqAii zA^pu7Z012|3~Y6E0a2pCV>M3r!H^G3AMy~?kjIw=hA6`whKY5SQpg_52xxV^bx?ui z@FX7Wkb`%NsvmVHgpabqRYPKwdKAH+9!sWhhWzXRD?t&~emz)avf2DUbXZcKkj+8p zV}yr0e_9K+==C#C+A~+U-cM)T*1wn^6F3^ z{?muR*7ngA-{avvoDcILV75P@>bwHp zhBMEy4lj?_hVUnR{mito(a?-$YZPp$D=r=E+4%c|wCc;FE&Cr(?eI1{_u;|LnMX!n z4R6CYeqpfZ-+nPjE51DXn*aTXqTO!Sh7A*z=lcZyu;~3s9p@-+388(8MmwJ$Q=Ofz z_l*x}ww(W%QWM{YKjDUnw#ixkgnosnj=Lvn!q)XO)AZ&SJok5bFLm-=^jBN&r7PBW z?koFrKNQ_dFTe?K#=_4^){(mThC=t?K&aqt$S#62Qy!R|?V(1Uy8?egmPg%7==%K+ z(;LxH|F1lDK15yniswA{565*~HS;jd{0iQNd6ah1)iXEH6~FM@oA)F6FsW5PWHs!# zn7;Y9bn|on4u`jbKOwgr1pDrF^sy@}y8UCG`wZL*5w3jthcxpN?N69Gb+$iYSSOP6 z=n+0!dfJUd$G0A-B1-RJF&>uXrh1~1&G;xRXF+P2 znW7oYf|wXNtNkCQS;~59k7|1#{0X1iXYZdW`V;yZ_}G6|hT!B)Z+!sHxS!DZ*1385 z)l0NLVLN;gWAZ0_^K-F{tycYkzSZ|#@lkcUw{Gh*PwmtF>cuhT(kt)Tfk^LZIuDD2 znw2dy z|NhJft-KB{d~_+TRKmUPT_^PBo<8v~I)B}^vCCih)w@sl)b7b6UT4#~-d&e}@Ltie#p zrbiqc7C$7$Bc6Z?vV>H8ZW)~96DB#qXFr4wTa=c(=W?tJ z3vjlvhSV>k5e*tDltK>wlPhqDC7hg%ZEWd}0Rjb?6@c5i&7IIakbXaG|H;WuXt-#- zgQgdMeb%L%b91sFGJ$cJ9etH0?-6eXYR*b8Iv+zy_c4Rn;EzO{W zQz$~ay3|j`a6ENiY;56EfiSptxT{yCy{@f}4}k6uX2P-6N|%OxT4CcMr3Dn)qY^M# zW8iIa7vMlpEcWn zx_S!9rE!EiKN}lce4+8vE{$GAj~?O=tkf?RU&XnXpf7l>F@0~)C%g+>{hkdQogBOk z^B+6`cmCdV&&GWc!YAx_3En8uiq7+%XWlZuJONwr-l`7ko-Cfl`qbMfquJ;aa3Jj7 zl$yx8-aqt8>pbjMO>P=aXI=MJ`ps8wL+M3dUhlxY@V`6z_NL){NFCSyDO_eAJ8tq` z+=pQ35osCNgOjCR_pdN=1!Z%biOpJl<~YJ+2jw`k!@5gx+7E5%T{`mQbT^-I?L`|t zza>Vt_zk&4zbA0@`ewTWI4hb`3Kyu;=J>fhsZej92A!_5MEg~IyS5L_valpI%3|K8 z4n9G02kN@lSZ4^7;w@C5nujhVIE7t!fX%~Busj;n_Y(YOS*z$D+5}MXk_0Z-C_NT( ze!#ou*#S2#_J+Sh=Sd>`Ukp6up<=r=RLo=gbbt|Zc6LV%wr<)i>CY&WRDkWgG#2j$r* zH;X8k1e$zsvQSHc1~`eJlZ8oYP@)u)N9$6{kt`AfQQ*VRn3EK|AE|?X(F6%c=?FHc z^*QRp;F|c@@-~oVyPbcQ`a#xhRUgW&KjQrCWu5qH-4p3cPdu7>I@e7PuCzo4SzOOL@fhe)p4;#|4`k)P2USGVCiPb2gp_w+r7?53P`J+=acwWZe{e`p7# zuh_G}T6G>B>9#(2^LH(E(w8We!w~eHDLPV;Qu{J?3x0%xr@QR%R(LsHx;CxyP_4sh zyPoJcZQGN%j?*behj5l9D4kn_k3wx;)z1EeEtAs}eYk2YKDPV|OxSi84;zKGK|2j# z9JEot>ta{PgTEiHdp{_zUm=#Y&Y8yI0a84Haj?aid{VZ!0Y}`OHpy1JU$R`Mr)Sef zk`4+j_Uyn2;#FA|5e{&`M!W27?G4ftAbK$k2ILZM@^kNd`AR#zM9~J6j*=k8xq+8P^5gtY!iH|KjC8AgEprhDe z3m!D+15+@GUScfZ?I1u_$WQ_u&u$_Iee{Gt20kBzd z`U~y>A7&^*U^zeNPeXBi&N>gZSq!hzaFki>9c@EI2X;-A{&YCV?FNCL{P-&nBb?rh zmpJkQJ=Fc;cAA{B7*;-V~a0jPqm{Po}HFwqaV02 zIoQ?kJD|Go+Q}l3M(=p&34!i=(#AUM!m(l>WxC%!jk?mrBL`5xjZ;ISCZGzRigDyr zK^T6ULLhZIwt@Uixy$a*h)(DI0lWwc$W=n2agDCNK_VLs*h&C=T=?V-r|3)?DI2O#1 ze3fjZbwsz&pzmZ4MQehvZ zZ-f3WVMg`6ll=lPLlZ_8L(Rd_M;ug3R|;0S4m{>jS%vwOQ|Jeho(Qs##AU@!3LH~m zc2FAC>4a-zWeaAu0}J$GTH@2kB$04iu)+W&=>8@=wFpii2uCR}3#43olg{A;?rW$7 z1cne9&kUjz|6%+IUVVW=w;RzQj7{<=z?ikf1aOlrsE6caA9ZZiQBEqA9mUNH)bYlc zq)CCh4OF56E);Hx!?+YUPGR^E;eUU5G=(f3cumNqlFbdS5M+3~j1hFaw;AaWv2J5I@%2{_cJ%Zc94ZDAvPHmfZ-u{{kZ#x6ZAHTKz-IEO2#SBiC zPM|Gq8KT$YZ)BZ@=dEXQ(~8z^u(!Vf_e{C!8!WW;kN)3(U$woJ&c8o}XSM0IYrp-0 zt2V=H5I~bF!LdJxobBLmic;SZ-^RU`c1cQM1sDXmDur0ye*3Q}`xOub0}#5?=VA!9 z!k-X#Q0z9x^-{MVJq0%&>K`jECj6{AYdrV1CNI^H2XpD3EFrmq9iADkj|(ec<0ml zjhb{nH^SrZM&e`(qk{&>mcZgLpl;*l)j|pjcXZz#k(>BYNP$vdN*&Hd`~@cV+(QO_ zO@OCNtD@hXvZ~^X~|`I27^1kKnC)KU)e?;52glYMi^|$ktOvN|cS1 z)Tt;BceKUHR!W>w1(${y5p>Dkzecbl--_p-h11zC^$bloop~;`I$JaLObdkXblYyGQMxCu z_QMD5g}mE&MSiq(Wgg!rWUX*<2cT#SpDXlc9unH0kUv*=vv6KLq65OO z|DTaDog~36VaO?*f?%!qB!7X>^)|rBj?8HKUAVXk!D@d?cI@^~xZW=dUBCysv@hbS zoc^{Ugag70m6jbDi+c}##_gEF=ObtG_!yn*-SdKGtL0Df^kyV~uF2D#c>D_O$k+Lm zU86_Pv+4wz@Zg4oV0C^hqaJ!Cgumdnol2w5dib4zcxG#6dbH)*yb9O?J)?5}lSmGF z&&&+!Bs>N`G&$Jwf#=y7RDZqj+2;m(M%o8geaTj@hgHx13d!dNxuJP%bv^{mV5{?y zL1wG%Q&}X#V70$#Qr&)$>ph5u!te>-7co=#wqaNh)%nlj{$}-lV$fT!$Z1EudmiU0 zkW}Xr^u`&_eQtlDAOCfyWJkUgC*YHV{6WGmPGXGc((Au=Ha-4I_!G`}t(V?K*Zkcj z_`0X(UICxL*W=rUdwG`9T73sXo>x703rgWn$loVC_3fV9w;K``XtwBaY&xCwoVV)R zmspgy?4Ngf?$8mszDiwEFb5HZ2Y_?$^xV5xJLxi(W+N%A!q|*x~4w>eI=bZ1sd505lQ|&;mqF?{W8eL zY3hwcXYpfTh8wVR*AngS@~!gsaD81kc9MNAgbxog+OmZbj-)5&B>jXnvq!Z&6?9=n zEg-R+EN|qWBff9pLN5mY8p!EIFsXgI;62YzW0f`jo8ultviG#hzlo%^^@B)YMTn@v zfgH(4gd87wQ=hsHdkww0^S?h$v~sKUvOj+6eCnNE*DEvU!zJKvKSK1;eS6mbiR!%T z+O4nX+_wXDa)&%I4R^t<$ISc(+zWfZd-4m@>CDQlU7ZjA=6CBxuRUSgbm!Oped{N` zwR0z&5BFUD0{^Y#-G?b|&{8jc`8158)IEO+_nUvpz3$z|4ZZS5$F+XrL9*_8ghdc? z`WPJiw>z_Q;)tL8*AcU|fRS=ep7>)VUAhqqHUuX-uK3K`8$5^23yw}Z)=O_g>9JBs z#g7D7?D5W#kOGTu5v<8B8XCD!VteGKO&(4VmP06l))swoPWxhxXUw4iMF>!gvwhPh z-|5ji!@+l+25;JkOb!xi@e?1?rHE=bDO?%+?&p3kd<# zumtDeW%&qK8Gqo3TNNkq4-hH3PBRccI- z>ho)nR4lCB8eOc?CnZw6H@js$*?lSeIifL118HR9#pY@FWh^xMHrhf=f+Ex%xDmzp z+sSx4vN0#@qT3JW);=5`>(jB{ABADSu`xbS-!=tY#%*^i61~IX8j0jqzYIAG25i&m zeDPhy!VXs4=TpDv!zfBI{s7kZ(y%r{?lgM`OIu@Eo(V@+Ptf^&y*YtfO{Tve(t|zg zl$AYCSR1GCnZ5Cy=fiQarT@4r54Vs5|C&nkJA!q831wa0gpw(10#Sv39F#uR^w2*X z@z`3#djC1u5~I_&D|pkL4qxW3H4RWzrsp-gP%YIuCAfCJ8&eSO@vD#I69NxHFO{}( zDIQPG;SwKauuf@t{646)~__X#^TZb1^LIj_ra5MOMqN1s=f>MZe3s?9Vn93lX8DXkbn#Ogmd^w+l@4V~ULg}PK7vhX3 zYn`;|49VFTWt+Gm8?zucWC)6|P5Gvy34IBvd)iGoZV^5Y# zDGhlpMG_UMUr)P({?Z3IlC#$=P4pe)M7pqcu3u8^5 zh_?Zg+<|xBa2s88_m;KieL9QOJ09!BQ+IIPAkB_ZWr9<-v`obXi+*rX{9_9@sBYS1 zJ8*>1!vZYw+~@aWcnm++_t$)qQBWEQTksPYGHiSw23I|c%d9{d4+Z7iz?0aNphAF; z2j63Q+L6=#moz3()uo;n>zfe<8`{L;<4pBZ)DuXEUc77@#y=OcCp!jA(PYa&pe}>6 z%`cP{M{rvunacKw5u~WSJ5!_zX+1x3!NLJ`BMkfB5;Uz*d5$H3CK3a=K|c8}joR>SV$_rP2Qo)-ASwB%Mp7HW8bH@i)HtCA+&6eLf&bo< zYgybHo}>s~rx3$9S{!vl@a@Qk+Wt=w=cWv|=P@_V#5ZC!;o$DR24ZT2Nce)p-fR{tlSNHP2L zZtrMS)ZOv=XLoG322y*oYg;EjaO2wV-!-}NdPqn(Q@msCiLaVW;EMhjxDCmmaD&~XVl3*u(GSK z`SAJA=XJNTL8Y1cl}I@D#o)sQjBq8zxfRw^$5Ud6)@QbX*cVM)BjYt5nWS zPdQ#n2$Vu}j1P4RLe*dekNzVlo-`!KaLAC*a}NMPshb&4B!de^C;^!^r>!3+t~LlX zo&UeRw*j!ED9?qf`}CaYS!QR>t`jo++}+w`#ECI+_z99^1GVd_t5I1^h^R?83i82q z6IV?l#=n|n<&L_@KnPcEygEWiBF3<463x9{J)pr&LWFpe$c<6QKQwA&02L5qzvr#) zK7Vs&cHW(H=AD7JcBi|3o~pO{dAh2ryX#c<7B-6ak-)!3Xd0JJ96IT zC@Zl~#1+UPD`>rZAAZnKfr+?c&cj>|$W|S^1lp&^zPLP{nD-$jbC{O_&^CbKb|kaODzIqMmSM1hI5s5 zAOs(c+X{Z^>`oyOWU2xUHH|fs8xlYDXJ&F2BFt7ag2YrT`a$5ySu6^lI4U!)hA2d* z7%-UKQ^tFgfC!~f>e{?n~F`?Jx@t5=$*fBLUKanAJK^5EMpz0D-% zq}s7HbB5XcN1vIzb$lTD$|HLRpX6t0F~euA|EMW_`>$_XZ|08qFL#{s!%K&w*=@f9 zKHbn$&UxtWZTrm2{(TOYz`5c0GZXzJ*ds4L`PIG>pII`WPRpqCE%*hlE1&&$r=y>a zPI}~q=f1*MlhUVgB>1Rm zun&iWGZ!cLh0#y!!m80Jx*uvDCYr!+1{{*YNxuuv^x!kz?!zB0RG4tR!>n`0(YuT( z{E>@2+JiNtF&^*Mtl4=A9zh}>P`Hexf3W4Box{}J5*fux?PfpL6*mJVJPeNTld`x* zcH>gyV1h=Wp&k)+16YUNTFQhadm}Wic(JBMxE{L~9=j51(JAL}u;}CHl3*qhoSWe) z7S7Go$38V?%G)#2y@3@IMCOrp;ye&~W&{7NQVMl#=t8B?i8DAF$rxMjLT9 z|1)&u9P|j%C6lDvK`5LS)}k)POgoB>GUa32D!{l!>8Rum{7ixn2!=g&0`A#YuYg)O zEiq!A9)z_7!OVk-4mNf z=PXT4v^1&a>|0H3*e194*}=XSn&;ebDuF|4v@uFALl9F0|Le8XHAcw^bNg6|Lwu?k)2N)AG#6K3vWQv%~PZMCWkLW5K{#I z@RFd`;4A0+kMJOH_%v(c*GxYD8P|KN%v<;TK?Gxk~yQud5vMiF?iP zk7nq2Q}d|l$LfRYZrqy%(d)H+;CSeLX5X3jns{?1{qknq@I>aF_uOmF$7+wmKMuX8 zA4VVcK$vZ~{zo4QpH2>o86K_-Ka5W&V?NP@~^o2;rBAN=xpkx zqiKKV8}l0vm?z&n2Z<0Qci0R+FlWlui7bfia6TC?|G@FO8T5X*|Tn(Ty|zi0eQ3C^$hLa?yvgeQZVt@r&gW zo&jTw=CVj^Ynd_W5ZlD!h#k}N~(!t|VE8l-DMZD#{YRUBNFAv^@dPlzc zylSa5nwJ0MREO0FXU*VSs)RD-u%&?`YTth)9l~fWk8A9z4KLTX`R9A4R-6s9Fy_lD z)ko)|kDy5AihX(w!u9jCn)^wQARG4ZINHGtmMxwBgJ)Y+GQDFU;Nv(n z7!yz2N~o|(Q8XUyqv!T0tGe6L-q8j(}0a*p1WK+ez;R@VKK7SFai% zI3#1kzJ7K^o(B4H7zq=g)dhR4QA-Yew};(#b*1u zQWV5(Q|5d@D1tVN!gc`ys(9Ck`>jwiZsn>LKrERT&?Bbuq|zjf^}{s)zfErxhY~B( z9$TCxm2j$|4-UB^s)w`2I?9EMrwu*v7P?n!cZsDeQG0ZUn0b%|Bi{Vc-nFd_2Vi#e zkik6+TsdE^u&z>wr4(|}&cK>$d03D)N+cI*1uW(eQrKnz+WO3!?TVgoOC`PN2?GbP zv<3RQgNIH@Z@=h_;|}br9H5@Ch8yomhxN+W4^mHf%NE4Yf{KU=4!u~-nZ(rxfT4QN z3ES-XFW!9yBvmE$!P~M)+*X9Q+Lp*=27c?Wd!`o7(1CQvT$O70ywHdDzq5LMQ+vzaF(25;yFcSNC|p?6lzt`d(^Fn$J|-;Gw+ z3?YA1obfiGeiDU5p!`yYps$V)#E+)y5lkcJLlCd75j19oQt^5v4Iy-S6b#xUPeSJa zxd`J!I4|MIsl#6tjb%P(nx60(DI^ft;wyKG04D`K~j z&$-aHa>|ON2A%mi>(*84X-ys)2+&!O?gV2P9VAr>T7wSKqvJ9NVr)R~MD|lI3TCOH z3`<+22ZwyrhTS>}(brZoXDK#G3|*BWqV-f$OMYa{cG#jCaE)*XE_ZXnQ+uWs*6SNK zvzUEXs!a@)rvLRorS!7e3BMg#d_vOF;q2Sy>YL}SH(yZtmc#>F0x3Lcze=mq?ENJLSd&h+#oi{#)&VxRk(b}2FwV3w?v%f*Bu^ zSV#!#Nnr|*Ie_0eyo{F}CoIS&#h|6WKVep#MMFY~4;*8Am8`D8PczG|ewrKEyU}Y&}5ND0-w6 zY-4Bfm%q`6$0Hh@*i0qGS>ThMp(2E+I}2ZoXzzjB>M0)P6B=f~*%(FOBqA@4#*!zZ zu#ISuFWlONkh2)lP#VzPbjSAYSK?T&FP(IjCK%ajYVmz5v)y|l@?CTUP|m!aJQ!DD zh-JoU)yVAXkW5n|Nt_>TTDCK&{YW@qdut+RWV^^}m{9~_#y!RCGoPW)wWihNU<6jnPyU+uy)Ey!7^^XrZ+YZp`_j+Y zWW&{`qf8o+IvXh+VIN>&C z5-ljfS&e^%OFX(lYB6-7@NsPECJ3CF*qZ&=70!cZLqqtY_X(Y7ky`AFlCAfMvNM*p zn8!}P>q8KY#apc4j=JoaMyKUBIq$knwqQk`0KOaTbL|gG&0VLO*pMclajMXENAzy(^Lg4K?zm*a3_qwpCAlJ?B>pbX9|1jPmxkZfjA2s&8~6yodc}n zQ`wj;P}vzr{^XD~Hr6KI8!T@`ch~58Ee@;?MReJ{xwpUR-%rhJzq4R$22>^++w+@t4#;?byyKqr$1g#Bcaro$}BLU_)XT!wB6cea| zW(^T0GS#9y%U~FVV5E+o&q2~$)8+zEBRV%gyrqT2;HJXk3GyM027$qig6@tYgI@rxxK@LaUT%nl`YUr;-3$lSFK=j*PC^62v?sxSWUSdeh; z*wPdZZWC^kHXHNDca{@hU5^{A`(BSA_@Ex}m#&QbgF_gg%q7WR)<91t7qyw-3ma=* zW?VY42{Y{RLn7Q@?KO56E}vhsC^D)J{{pIF%4hxe=$p=)q;~({1!lIq?RyhtqPBQp zIaD=gV(yfC-^Oyfd(#^?KYaJ4n@#Cm6ERMKIN7@ff_c^C(Plg!{{ivz%zog<;xyc&5W zk#D)~_|to+mP;!iIBZeH2rJ(0d(oq5aySHW^mE?Oj6r-Im?jYZ!d*Tb$hlaT&P?LA z$)CM0t=#*noIw04p@h})@QqyKCXbl|#gK<)&t$HU%u;aJd2ExjW@w2!ELVucVm@IO z?E7(;K$!ZkVsWlh@d?pvK4HikN=p72^XC3_da`_>-FNM^HhI#uDJDHmM!)}6bK`Ys z<=Si0fj^p?9QwPL$C%ERJn5@;_#ZY#_^cF~0NtbkQXvW~SsW5@??{l?Y0gCU0TGp3#rl5Dp<1oE&*nf5M`8U4C-;~cMq(X+{kk@@LJ?1~ElLPOn z_)9JsAGzX^wC~Z%WP1EQPikFqNm$f!=(ekA^5Mu8|1>`Q@Wx3}>qe+WM?`Afe+Wgv zd(Su(+%5OzGkd7kqm}d{kA|B1b?-B;d$?jw!w(UIL$`oW31dM*Q_2@4r07S`jYQ^( zH%I2aZ(*9>KZgD-B>a1rF-WQJcsv5Me5!Fx>qT-Yz9 zR#p;i2|<6r)9{?UFo0Qxw6G;M^81wvVpstEAlvU zYs?!@BM%NYbEQ*e;TcViyP_0bH+aW}>t->xbLx!b79U~USOOt{mJi>Sp+h10t!frC zf7^f6?dD-~)*bQf7OQNTGb*1RG;i_)$9?P1ADA!)KKj#NGN+v~b^CK(F%~~<^g#bv zUkVcl->_}ZMfm9FNB-vTDzrXfz0_!$ycZ0<9*Z&G-v1}R^6rO6PpySvx|#GzviLzc$5gmDa_am%#d>_REiJ3^46P$J$w2hZqkkAUg> zU!MuV@?h9eVVQHZi-G92`^(-vCoF1f0|7)}=C(WRv*3wC0C|c=!^)fwyEtPQ{w%zx zS`qS|X@-(GGz*d-!W+ccVX$Egz8IAt)oiqMV{XxGgXsZRMH=qTPYyc*wzw)nc;YvZ zY{ak2jiWS-_Y;pjodA~9iU(+*UR1m|Cg|-6lx!tKjZ}(Tu6yzC_N;B;cmh57re0H* z`QnVHB07&Pf+vLB2-zZLk^K(Rr4Lt^fQc*E(XCQ-3>TOKlV~Q%DN{8>#AhVvDi4GS zgr|%?mwLj>urwNa!b3=Hc3Y^ZXfSccoQ@ZyR3hoKoA#qs{A7|mdv2SNZfY=+j5dwohZ^4$RfNNSkAh`{pgP*q`>@ z(+C)ulYVH)leytd)xtY(k8c+L#VhhqBRbRAo9#iQKx# z!HefzK_r{^bckwlp4w8ll1_zKT(q#qM3Ime%hF>3B5KT89le7|a_mntV%%<1wpoVOEeZ-J*_K}o@puLZNAD;d_IP!cSLjZPHqXw3 zK7<+@*YI}1_nNo%vTf1PW%W&bQ)Of8wo(u;Z7p_qniyP6RKSG|+Q&Er3KvxiUx$6_ zU?%U)QcDtHG-1NSTU#~hc8veZAbZew{7WM<6H*C<)ErE^d5&t9)hgcLC^dqB7WR+E}r{s>YMiK5jhx{r$q1i z$ce8Qz5BK|Zr}QAuYd2JlWxDET=sY3_9nV|eCTJRukStUnCZ`a`kFtDzP@`PE2mP% zvVl?cOuD}fE{VL&Pi=%_bHb;h55NA(J;om_-!ol3=;& z*~8V%uk^KY^be*VxvE1m(O#13K3bm;`(}4>$zO-JEVJep|2~?1_6@j|`r(0+|I}B{ z8!)$Cnrw^yc5V|b%(2Z~H9NX_Pibs=ugg7 zuc=K+pn|3`jFoX@!C7P61f<(}5Lfv$9UqU=2_$%f_ZPbi@VjYhgTt5{OIkodaxOvy z%El-ycb>#;IGS@=#nYjv8dm^~sl41(;kyWa#G!Yz#-c{#g_~}mvWUhORY*{AZ$@5j zoTJ>+`11fzIk4a>)UBd8Pfw-rmJBBGjwd#?fn5Ml5I~CVraSXLx~Ue9Be*2njblHv z4wF7piXf8kH-Z_S>#l!xB~CC~6AtxWZYQ z6MNENg{l{|$L#GCLb08ELT1kQVlA&|c? zGRby2nH)asI)+J;XlYY4fzTSv7WZ_Ru0EfTLfvy$?&+#pEfrfoI(+d{O6QpW>!;&$ z|MF!Zd>1IhuV_A0ej?UP8XZ3E8^;|RRn7E?{dY~^vD+3*K8&93-6p&yHX}E0nLVz* z;_?zl-*0vr3>?}WUD^8IbHZn)tH%xD>T+rpLzjrjho=AX^hIXhL+>4! zg>83yw9kAMc`&^YJwWX&OyT%ic*5t1*E8^!xeL<0Cc5ey*IFzc_TW3IWK{j$^0pHq zf8Oxu_FML1juw_Iq|*c|LYTdSecnuM`$<#7d$(w6Vh}4xZ7Ry@-u`nwQww$JfkOi_ z7UdG@yFn4W!G)f31P>WZOyP29H8_81ZXTVpc)V-PHO99WEDjf4k=-<9wJgL1PDedL&PunjlY5Kg76(H-vrIW*e$ylXE14 z&my*FilC{dcyuumewbkLL^?nmW%ywU4#eYV69@eEHd9SSAHDZCf80a0==B~}zr`EB z6QAJ^uE#R4oFVHh*@acIMknsW!9e*ZiFuQ6?^r#{TSK|5}XYChj*E z?E-ci{=6;I0`Mh=d%x4D36#zKK~kh;&V^m{S)0805bn2b{khpQhX6bUg;wKBZ>Ur; z+mNnVPfzDImOhJ9VJI5&6DO9Z=He6a+2m3UUlaNQ<`YhsC)|b=7fa^OtlVyFU-7fO zR12q_qgsMGI(0qT4#Fw=5(IHYFv$#}2Ph3;?;{I9rE)SN{K$yGwWDwrcS=4fLT&qK z+WMJ;u;I-Z25lh~SzVtoQ!t0;#v5UoY{sUtF2d~-Oq)vRh%qgGcgWDX8NemYOz$>{ z-BNXwRHah@Pjz>gF?4s6_9swAT7TREh{Fv*c|RE$H_vqjw-RQnH@I``qWg=xd#M&x z6vJO*@$g}~xz=}`+OB}@Kl?o zX>fh886573%)ZQqLqs`?H4u^90zBG~7&g|L-Bt8*;gyMpR$<)XBb-Yf-?7ITbOEdm zkn|g)#G=+nq9fi9sf=+|9G=Y&ks_Au&gkXLYTTWmA)$_yT_j9|!vUpZBR^rrpKRj< zPXaM$!;@-9?D|Ph4+#Lk1qe5qQ4*r_Bg7>T2i|baeiXgM(-;5_*MHifINDqns0vea z5kk?OT<3GZ=g=)EDo14bLqdegppv0VbXb`8N%zw@RS4vhY(AlFx@6|XEpPYUjXiB! zm9t-zn!dTo2D74PnOOCwlBXZs&~-X`Dq0ahTy5_eC#kK&T=fJpkH@!>e8?;Q`%(Yjla z8Jfk*r!avq%r*p~m~EJqRBQ*P&7?uzbDv}rAP^l5y{+o6#SFEdnX^Ox`{3l@S1S7u zUAggG+joC;a`Uza{k52(_E#UA>YE8O)Q~!b8ETZbYwr4g>aAL*WoqG@$3Cy~U_aEF zF#e{kY32SmrNckMH;Z35hs3lpWYVGcRVMrH*zFJBo>u10Xvjlfwq8)J!(SX9`Ocfh z4NAtRu*uNK&A57C0^zf;KH>TJ-f_M@p;>o5jRXxYwr4U zd#YAyK3f@%G5hYMSJ$gNbgy~G1E-k7n=0vphcWB#ede9t*=x+hmGrX@RE8h?ih0M} zc5~79FoE#g(EVo_E9s+;HU;aY!4u<4$n-U2GkkAYy%169;rE95g!?K{d@d#d(#Mg} zwBCLL#`c&`h(DS0vS%_W+lXT88&+4nv(cXlQH=yk!aFJEQ8gy6Nqm4ORR zF_*vhUdoZ0Yb)!1{gm*H=8s`M;o%QXm(K3le8R!kWNJ0e;+9%3KXBL#)@o+BX_$;& zY2D$2=93uSPhAHC2hGq86Je^~k1^fv!CABJ!3lFaypK|9!##?q&hCLv8gt`Ya8oyd z#VBV2=KlBM4T&*BccS1w4-*I}I-f)c#X>4gFC<6(Wbo8CTRsHu{S)!<{kR@pltm9z zjMYLZz8{=&r&eP$2ZNJ-hCyO`$IG)Fl29ZK{&Y(8GQLBOKpy6_)G73A#R92amNJ} z2sT=K+Q+|yv=`rkO||R@Hqd|B_F3{|Zm^8IzSsWaEG@&8nJZGtg$IBd{QaN2YtU@D zu2w}5GZo1Z1fNb$p7vrWM+1$z!yp6I@bz#HJpbpXdajnuPF$DQKHTfX*Mt!oR$Rzp zahfKXZ+{MB?4r?Kgs#}oK}0d0|De~~iKrQhN6~5axH1c6+p4qhM9op*zOXf7+F@}1 z{_Fr6DZlc;T2b9bWZhbGRG0m=-p$o5YU-+%ypA>o2R`j{58h*7KB2P|O!C8zLfU*T z#8?YsB1vMv! zn-XIoqI1pdDkRYcCrS_9$A6~hZ7a;>iw^kgDDs^#FTjCeJp^3qk&uAz%w-(ZgGKaW z`*6>?^BLtW042{fW6vbYwuT%zd3ft@LpW@L$t_bg>Ir=#x`VH@K1;Va*^-?tfT@|x zLQ5oGvbwg2t_Dkg)pNCQnpZAAv3^NF@AIL3!!>j}rQ`nNPM;kOrS_lqgPO(OVqAh9 zn9p{>zxZYp59?BzPiSg%-wMSep9{5MMu6R!059$;m`73zJ!XyOl>}EclYY8JPaWG_ z1y5jFCQi1cFFW|}|F{xrxwlU@4HJCD`x?~3G>obI0EpViT%R*+v)Ci?o#zcZR}c8Q z?}#3Ib>=sRz|IsOR~iR zCZU4fXM5t=L@t+$-Wc&5wE#=KDV1X>Z~nt>@-FJ^qNz=ZOqyE*w*xATjfT?1iozXJpvz0gB<-`r}-re^Bb9Ktl*-rUurx}54VXK`(}VMeH3iz%b$rjUyrFa;QJch;A<_aEs-7k zn@p_yff+mdk}?D?GCeZ->0!feY650waf*WR#9~PVsO7@+Ldb~syh|=0AAAo*xx|G$ zzB#9D4)Fap%kP_V#*Wqko#(K`Vs&0*7bBv%9=5H>?=rD7bII>qH&Yyj-!MB>IT4qz zjq9Ej?LQNb%BgXkp7@DUG`nlad;tqW^-WLEwZ|k^7Du`n9(qDS&W3H%CfZ~M#;Sec zT&D@XZ4>#fZa^d3@K@1o&Sv)&c_S%O!-)l;rM0JK@GayS1mG?VTT}y|KdzQ(`af;VZ2_R!RZFqNlc1gmaExJtqwiNvdYae`>@0PRqC<`+GgCK1tk~^&~d_rU1Z5? z#;w^G8eRus0$|=|62jIa^Qlkua8ptv4!#-uO^mlh`IZm`qWY#e37qWfRx#y)UK-QMi0Lg6p1qaev6xt$9bUYv zI80KL{>bGmAq#|UpT~$LOcDTNaop#d(i-_FvNfd$=H`lEQ*IR1xboPLfNJ3L$1s;P zaFpVLLR3_!C`EPMediZ@s8+qU)9|?>6hW5YkKprpEPR-8;_+Kl>O{QFqU+Z!8l(^r z3p;0OofD(xXxAK=CtjXd7_zP4JQPlr7~A>Db+Xkp(;8ZR~$#*$L~#& z>6uNx^xv+Uy$c6D2tG&6#!XL;tCyBd>B70elHZHr;e}cMR^D#2oW*skC@0%T7W>j2 z6IFWo7#yC~-i8L4k3zE#gBJocUrvS&)`d)bmbGb%88H??tdz4ctY26^;ZTie_K~?H z!j+gVu9?e)cfiiXHRKs6uthl`99l+}QgH>s)Mcy3)IGMP>Us1?ek#OB zZFhCM?Fn57U)|UHwiOtvpWXOYaSilL_e~k+C&Fb27YBn692-n1G`KZ}LzV`~o?@CK zvT(kgru`n@=qWAAY2J zEZ8`RB6(T+(caEb%j<^YYN%ymyydkx>@67uba4WJ4r~U0W>>}nWv~=3IBhB+L^p4? zv@nO0q0NO;pe=6kU@^3`sGH58Sp~>aR6<|Tp+0oo3bu<1v{oxCW*OVR{hi*oEmSZ6 zqA^u;@M{y3=-mCc`_kkr{6I2u@sBV=?bUyg9&}ZW%_q#9Ej%rIO0JxHQ=V7{(`ws0_TJYBH)Z-!4(=po6YS``KljCzP>WWR z^mzpb@7X(PO3uIN2dR0}gLOT=4YevarNbYoP4>NECI{D3k8p73rz7SK;~6L)ai5(B z7tNV1NVq7(RBrlIYsr8o@#L<5eYx+HaotkvcFMSvxL3brCDbzOA3oTqO=Kn?y~TVH z699jBe!Z*sT&HK0$)(q2 z^9k|O$OaTQ90ON1f=#}!7j~QCy5`;TFPU0t*GlYI(0Z&0m^+I-zW#0wKWxko@xml` zhreaaaX4$w-)+{NU&|t&P$Vv4|Ip1DDD_JK7m#AmU%xgM3Hd%~d0k%heDYiY!B?XS zZ}YyFPh2YxePBh}R`c=H{dBZdw2M+bW?@e)zm%0TNUcCl;q%A%SVa+RORWbpVnKTY ziQ9g%9ozy$z-Q{#qaA!XIH^Zw9@`eq1YfY^dFb9m9QVueYIWzxLO<1= zqLx(3Qm(d3Du@9(Tz;&m)!M!o6dWZqbmB*u_h_@d{QAd=T1U&kTFUn`yB{lRY1``U zdFOjueAh~+5y)6;Y1zQ2%Wtn*O>_#q5T8FF*C|co8Vt0L8AD3P$VQ&-`@X+IGpoB_ z^OY-+#uFWdS;DPHcWe2;2D!FnyL%`rS(?y|SJcC{g~{g^&!@048km`%*d7zvmYB96 zr4>;NUqd#=u09tL8471j+2{U^N#W}R%t0M?3)}1f?ncyE`IxX{OYeq(wNOS=OIg)C z*qg?C*c1BB-91OZ=~l3B4N}NvQB90z5%ui=t~(vBFnK`1z%+?wHqZUum^g8y}@wQKRKNONwk!O3S{> zSGMO~`*>F?uD0-dv;lv#89DiOZhgF~Wn7&vTleujzGD{FWw2ujEs-bw{^MS)7CyQh zSnO(g6sGR^6;?qwP))avr(aGABz>>U}X?0KH>b&KZZ zHGT%wR>@*^=3Ew^{gMcas|HX0gRMd1ieQaq3y#iBgj0_qYxsM>o8f+68^-MVO7(O^ z)v#RQ)?u=HsWo$@Pz=D;?^9m(Ig}TFY1u?q;!_K?Dn1O5t?e{uZt+Mo_a30_ z#DJNrlBZU$h9Msoout?-l6+loH~gotT8kb-alh(XQI#y5Js+-Oj$cTQl5Fl%+mj32 zpbnYK!(6xuer#uH_L2`lJZ8eW9Y`e?hmX;q$YNS2fQ3WJ9{&2=s(hqZ2^C0^D8C1G z0goSil1|v#5boyYqz8r7q9b1L86OUrTx79<3pi{tnegF~;BpZ0LkR^ zTa+GZ5IGxyApoBXr69G7?xlYxtd_&U4{(diS|*~#TB56#g81M-(j1*v!&I}# zmb7Nv(fFVCO<}bbuJp;26b(my0@r}b#As3rr)`y@D|6-8V}bXQbE&5@z5vhC1q0wH z2SX5mqdRfkib@;4NaVux*QjJ#!U*7>-&D)MlwvAByu1{^bI%Cwrd#`WymZy}gxMhyvBAap-iLi9$vDA^D0w{XqQDdEy;wb$iH?F8!gG;Dpu1}au zu?KiswrO)TbHR5h@8CdWP5*uPl-DdmcWpBxH4M^B?P3f8pNr)J-i=sl90WczW+KeK z_(EKpyAMG-7{GBfI}PwzH8ULE{g!60x*e%za2VkJ8t$rQA-he@iSTcZYZn6iTV{0N zDJHn99--Z){s<+14kyPf1qbt`fE|_mMnuqE!Fp6<52cQlJ?)n4YNm|JMYHHo+uZN{ zctzF92i+kPWQWVEN8Tkl6lG~4C8|WLJM}MCRIOIyokQUWDG6f%I{WjZ&!TODad+{FCK`hi zOIR1m0}NL1E zvyr8U>>(5R$Q272Mzs(uHbvf6aJYN|(wN2(@X>6R?bvKrZ1WE%7pX<`68C7f+lHe@ zaXD;r|C))ln&-jiIyYKNIE3QtZaWLcvg0dK^P^2%=4k^@=gE0$9*TYD*_DU$U0dEf zwkUZ=M{rVYjb361wRdS#_fXIFtZP=NZPhKZJ^6K@O|8gwEGU<$4QysI@YdEm`DLsP zTm<7*aG{oOjh@MZMc0=n7D1PXc{^CfE&64RuDZy6-HNR91Z!<)dtrYG`+_y!5$ig} zF3nnz*LN(VEwY-2ZCiYAg5v`i))t!AP5i##dKvXfEaW*ar2(1g^KaIxUR_1Lk8+R;OOU!!co%~FJF=3|agLW`X9EP{lE={vf|^>%$bo2pT|NJPN)ZifyMN2wP z+lui%9Ft8#pp1NADy5T}I1M^dM<1CQF42i6O%cTZ;9O`o zxOoPraD6uHaA%BrGnmO>)F3=1OraQRkRf0aM{y86+naqE9wCot+9W6-w?sSq5!f|u z(g?R?mw0Q&2T+B1?#Uls#cIu~?c+V7nDg{_=V5Ie|5{SZWt1kJnO(6|-)et3c3Vqo z59K8{Mfbf*d%`9+n@WSrMImo{?@$gh=_mf&Q8(Y0gqNg+0*NN!^RVTE4n;{2FzWJSX5(GgBvw% z_&QK`qpxk-w)w54xsT&M-AC38pU^++i!AS5u~{_v2p5K1+eB8AMrsx=*=(O}Y2|Km z?>d#9HPj*xzr~-SuY#L&IQR`70Z`BsdBZmnHl?T0q?lS2d2w2mqsz?teortnF}ls9 z_*gsz{i%r9wh1L?555ts;)U@?%pQCr$OAww*d-4tfl>RwWj3NHl-$A5T{Af!wvD`5 zaPe(B8wL8hFD$)n6?sJWu7mX=uF{$o-W^-qw+xzWl3$fHj_^*>2jFyiG3|#6Le0e% z!Is_$_dG3O!o!gvM;^LETgY{|Us?#d)cBuUu~{^Y^QGZy)Us1tBX!Vc{zGBs8$5)$ zO+{XSFtN7D5veI&Dh5iYNh-Q~M$p4Uu0pT#L(qp{n)nP%A>8l|9x~S?Xda%z(8goa zaAXXrc#_!3HPwoe8+GmkZi_4Owndx&5v_dNA`*}2Y)1q!n}6#f0J61;Z4M^t$l0(B zOrl*?90i>Vnk5MuMczlj7n(^3hSaPVBshYW1~ZN@9)B$^GnC|pvXWZ>A>f*$in=w& zvHd6h>B_6cuB2wj8DNdzl{H}oR4rivSmsqN7G1*NwWikb4Zcb?^Pk>`B3~YiT4NUs zH3k+1q%p)pQL!tck8WR7^5}B6=h}E^ZH+Q8R;xQ#_btOyj1L<{v=qBk(Hq&@d@ZH5 zrLluQJZo*K)!_h}1w?XNutSC;MA?>f*AfyAeBlq)yjlw|*ae!qa*wn?^^Pj~nlG<9 zwaU#r9|}hmt&jZ0gH6|fsIjW3t6#S&8JKch*ti^(P~627cPCAgsDt5eF+>P*k#}J~ zT6V7K`QPznS2LyOc`P6hszG^64z&V!;!et8X%id;Yhmt3u$x78W&6ud%hXy;r;%?u zvifrm`kl9HBs62{v2}3cCn5DTc(yhN$nV=D5RPpcVpF6xk&>E8ly31niXMi*dD_I5 zw%JqaCNSb0C3M@_kG*%*wXIIa2&Q~%d_CBB?i05nijd8o=4CI%ToHSuJHe2sm6KXAf ztb-o&2g479B!9qCbl@*zdY>yD~~ zu4a0{3943C3GHcNYwbBziV z6#X2o;hO!8*e2f*0Zmv5?;V?0=?MO)yXKaR^&i27$BYo8CAFRKoh=d56l%tgwIoXf zZujcJd&QV=8AWK;6=Oiv zS~04-U`W;K0*NbD#F|iR@%J3fQ!S3jThmmFCTz(z1sYyf$(@U66xI#j|9s;r9TRrr z;5wACzKU@Q9NpZRo&4qPrhkj@E zs#QEksI?x^onfk8bKIcM)^|cmi_0GJ6{$s1{`$WiTqMui(*(jph%F{Awq4}ff_e7Z zykfc$@dx=VnpJli;oyooxz+=13nDR_m(hx%(AE&uo*MfQZNqj68=A-1GR|?Wnc9L` zV#mnVeEg6-Cu>@(d8JljyWRQr2nu%scEYVhoa>2^{x$X+lb(>Uv_f0I->|eiT}J(% zkFMV9R{Np$`}UX);aY1Pf*TRjJg+Zyh-h&|s&9#H57gQtRzCdR=d2yI;wA9aHe-;2 zbopzZ*EhezEK*ypPV=;I^I}#!;`jHh9ku4uyd=7c!Ac$M>OPj|D6_F_90B|rI{Who z46UZ*HSwHqH4!FS7gbA?ex`9%i%FO$yilun$|G7CHNH3lt9L%(;`LrIwf#3h3j!%& z9=O&FucsEbV|ij0tfw|->9zmIm#>`>e6P7!{pA-u;p(sH(rTFarQrgq9UQw5oo^DK zd&cs8OE7;n+?v$u(9Q4Hw$*EnyI1~Wt!;IWnbla5s2)yZK+yoiGj6LS8ZFW)>>j*T&301YPB$+YPHa@w1C(Pi_MSOuca-G z__c-7wzZb@QnggAwZy<$s;jmwRckFVu$JnoZA;Z!OAM@~x@y~6i)xA8p06dcr0c5l z38fWa+1H+sMVByG)e;tfWnR@{(IpI4wS)y=nOC(~bP0o1Enxvz=2a~gUBX~hOIQGw z`9dw7PspN82E6v?6E3<=V9A);V#F3J4;K42Y&nESO|>mP3udVulX?~Tzy}`B^9hge zbkt_7$DPSvAi6WSJF(}i_1T|`ayGEUZ<{Q-Z2ztWm|ir~0(q7hJ^8sc-nNd=JQfwx z*qpruuG&S}ZkZ%^E&KCMSmSDSjfu5TP%$Q43w6~xs#MWYxGThD5i=MEXWr~&6o9?{he8PcbpIiCkJp07QP}{OA&)>>geBDPL zLu#!obpqBNQ$3*oe=BQJ)mmA;muF4YS{{EZn_JadS-zKNP1RZ+e=D0?)mmA;muF4Y zS{{EZn_JadS-zKNtx!wn6E2U(70Iph30H*XZW$@sR=3L2a(c;ts?|$&yIr=b)on(5 zS)QuZOLn_mwyM=_MtfPFs?|$&yIr=b)on(5S)QuZOLn_mcA-{pCZKe?wvY4j9$WJX zk0@rxsewn#l>>S+TXCt@18(b=9#6Aqmeqq)S;Y1P{O2bK(V;FIm;}G z>K(V;FIm;Z)x^)mlpotfjgZZEFd4GHdCu9-DPt1@j46)AZ(nCz_@R zR=KC#Xj5@bUXr>fs@AG|Q?M;VE3QYO@2&B#d{zot!H?x~lrHBZxXa1Qt!RP&*2kou zWsDV7S;~HKF#FN8ZP;v5og#~(d;>wgrl6(p3cIJiUs|o@9k6$e{B49c5*G3`<*j#p zZCKwLZVR?1_CEC6YrH4yJwvO>LNO*>O?A?`EX07SwGcC_F<#YLjjAkECsk`9W>#ao zsfQYi?CXBWRb;2-H{pi^OvjwwT@g(MFa-K$AqC4Ue#C< z1OMZ-tD$Y#CDm0ywRAP8r8+E)fz{CyF0HPLQnl97IZ&vjw>@h>wfcO*m4EWYn~&Et zZP1iKY^jCZda50zR01@)5Ue&L>Ul>83nZo50+G$k$%6p9A_4pQPcs{Nn^Jr1wSVO-^0xxm@wQ{s~VO|!{DFl;EgDX%SNBL@9^NQ59!@Z`b4R#Wvps9NOBbFIkk z8UL24wZPG@NFA19q|Q=DaK}{N5!$`Tm7o4;uRlhrZCMs?&3e$8)f{dPRSZaMfDW)p?7PR`fTBNVl% zHE&BnjR5b)u#v9^zJuqkv|7f`I}Fzx!y0R)k#EUtHin{n*iMA)BAcZR30Kk0&CUl_ zTrD?`zZQXPOK2XpwHvf8Lvw(~Cuk$7_|1!ge{#{(7Biy*o9z)h%a$DCfi16E1!}QQ@x{SS zss0G$#^_WT4fP16tw{1G-nt6Z8snVe%$mNY=Y;ilRc)v|c&CZ|T#-~GaC2)q zXv&j&i&R6Yr`AKQp2(VqOn;-O*3_0z^Aq8ggq051pS)eXZ3Qy!tRMLi+74b|xq}6G zg1~Keug>SG&TNHmk<-v4u>j|JR{8GEAFO=aso*H6pJ*D9bQBkew zy|JsKI(l}Stv+fhsv{_iw2P#zWRiVr-^#1ie!9DGC)>5d_IXLge!+sLP2y)3OkITu z_N|ZI?{8HzzS~>!DpX;~Rl52n@$1%->#D$FhT5uN!Y*11ZmM6jwC2RcOKwIA`%hnU z_ghOQ%r6^{v%{WGoI|JhiP*7Pu|1SnyRZ&eUqZ2U@`j`C3w0@-v0S z!S&Sj#>^b)5bEF~0as1B{g<1C+t#X~Vl7l;RgK`+0%4+cRJBCuXBt8dtTLgo(mcEm8WJ##JpQ zVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9 zlzyghRf|cOC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9% zi%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+m?&J;5~ZJM zT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9lzyghRf|cOC|uPNrJreB)nXDR z3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e#pJ`mxViG0_SG7dx zXBt8dtTL zgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAagiNaMa zQTmz2RV^lAqHtA9lzyghRf|cOC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6 zEhb^2a8*l`ex`9%i%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5 zaaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9lzyghRf|cO zC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e# zpJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-z zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9lzyghRf|cOC|uPNrJreB)nXDR3Rks6>1P^O zwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ z##JpQVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lA zqHtA9lzyghRf|cOC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l` zex`9%i%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+m?&J; z5~ZJMT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9lzyghRf|cOC|uPNrJreB z)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e#pJ`mxViG0_ zSG7dxXBt z8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAag ziNaMaQTmz2RV^lAqHtA9lzyghRf|cOC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!c{F% z`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzV zOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9lzygh zRf|cOC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$ zT-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{ zCJI-zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9lzyghRf|cOC|uPNrJreB)nXDR3Rks6 z>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mc zEm8WJ##JpQVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAagiNaMaQTmz2 zRV^lAqHtA9lzyghRf|cOC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2 za8*l`ex`9%i%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+ zm?&J;5~ZJMT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9lzyghRf|cOC|uPN zrJreB)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e#pJ`mx zViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5 zSGAagiNaMaQTmz2RV^lAqHtA9lzyghRf|cOC|uPNrJreB)nXDR3Rks6>1P^OwU~s7 z!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQ zVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9 zlzyghRf|cOC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9% zi%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+m?&J;5~ZJM zT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9lzyghRf|cOC|uPNrJreB)nXDR z3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e#pJ`mxViG0_SG7dx zXBt8dtTL zgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAagiNaMa zQTmz2RV^lAqHtA9lzyghRf|cOC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6 zEhb^2a8*l`ex`9%i%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5 zaaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9lzyghRf|cO zC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e# zpJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-z zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9lzyghRf|cOC|uPNrJreB)nXDR3Rks6>1P^O zwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ z##JpQVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lA zqHtA9lzyghRf|cOC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l` zex`9%i%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+m?&J; z5~ZJMT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9lzyghRf|cOC|uPNrJreB z)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e#pJ`mxViG0_ zSG7dxXBt z8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAag ziNaMaQTmz2RV^lAqHtA9lzyghRf|cOC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!c{F% z`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzV zOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9lzygh zRf|cOC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$ zT-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{ zCJI-zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9lzyghRf|cOC|uPNrJreB)nXDR3Rks6 z>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mc zEm8WJ##JpQVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAagiNaMaQTmz2 zRV^lAqHtA9lzyghRf|cOC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2 na8*l`ex`9%i%FO$yin`#Ja_tQ*M*v$|LP(sMY!-EKK%a!FnQHW diff --git a/impl/pnr/ao_0.binx b/impl/pnr/ao_0.binx index 8e28d6a4b624873c03d24d91734ea1ee7e7ab38a..a2d5c2ee979a7d1a0403bd1bd1d9f53c6816b2ab 100644 GIT binary patch literal 907956 zcmeFa4PYEsc`p3U>}WNT6>H>}be$OI$T5kafY(W&4w(4Z7LI^Glu*FsySJ?K?~6lB z62K{;Ia1U_Nll`JG&QuB3JSCrN->m{-j>^Je(EG8Bq{wqzECKLKm#A7pb#J-IMMgK zXJ+(+wF1qrfE8ct2g-G4GXYWPgxA$DN_o7#c?R&1e@~SH?+I^Y07^xdK{`TdU?-g&o z=!z?0;8o(Sn_hm#%g+!4uRQ%_=kK}d#Tz#cUi98Q7ogZ#Z##9{c`w_vIZP=R*|Bls z@a`+F5^s9XW#?aXMW}i9TQ_Y!_f4BO5AWP@#>Ur`ioI;#?hD?z`;rQSJ6?9~POZ0b z<96g-a>eEEdDn%*S6qI{6}vCHY|pzcd6hV4=T0DU=|va3^Ud$M>{TMa|FwgI`HdUj zg68eG{6d(@@6SX0)Uz+&eIZJnxBDt&oci)t>e{qBumA0BXKlOkyo)Y*&)(fvyzZQ! z+*#YsK}B$AQ-0GXxD*^VZQS_A_w2oD&-TkNzv|7yuiJLvg@DO-njMEf;Nz-`E(ArF z!Rt5gzDm6QJ$uEb(?ovrtMadS)v0F)(0_XOOilUZJy~LLPw@1a=v?v@S0Mg1ggJQ? z{$8!zGdZlq3eG{HhN3~y@YGP*2$jS7gL8zI8!{E48=E#Yg~fpSC9piFpbsBDDFVg125Ldy-AiqH+B3s3Ux@KZAt75U*Qln-hY$^N=R=$KsLghmd z?xt)Im{h7iwcek%%yYt2(o^l!QhrV-tDCwMV&O=*1pAg+3j9>HVJUyURn^d?5m~~| zGL{BGRjn{=Rl$++h+!+`1Xa0b*s6jffow3DxYPdV81d zH-hRzpslpRzSUMvFI#5~TkS->Vau@9P6)J$_40*0-$Fj0>mhOK%~N{fzRD=kdayEOyFZmlV0*lG>#i>uYJwK&{bQ_8T_ z8r&CGYsi+_Pq;Yz5-nx+6DA6Gvz3K?t64jyd=dy4wvs?OsyYl?Q6ZUx9m7@M(3Yg=7+T3|mQ{9912Lt*DSp!j54p36!I%!>|<;=?w2%Z9D!F^$h+$d=hp7$3%wSvLC#BZD#tLt)=aqApWMvj`Zr znnf_l@`kM>(QdXb!&b8hCRyIFl_c8D)@9gg7QrOT8@7@}yV<%7Tg@VvWO>6@l4v(u zmtm_}1d}Xp*h&)ZX6rI+HH%=9Eb_PTKMeTWMotA%6^83!#&?Ji}Jn7+J_4!`4FRq%ALG%j_pi8#6Wh zG5ZN?fRf&%uy3W;L*rQ)0mD`qi}Z&KTj}Sh?4e<+j79oGhOP8-RQAxYRmLLyA;VVs zIVyW-*eYX@{!qx4*-w~$uE^{sYy*E&jwXNIl0n4~wwu$5kJ=6Yt>nu|$#Qw&?_ z_#Tdl!;akUz@7KdAFN*T6VgZtuYHEb;ox7L(0Y_$gW#no!q zS{!bzDP`Dd4epDp)v&cV+*(u0u+p~RuU*jRfl0KDkPJzW7tXp<*4c~Y(<4+5_Sw*NuV569U)t0 zKVeje#%RavCyWu?=uL-xD|*YuV)O_YwxY*0K8uE}_^^%MvSBNFOyjd?*oqI^=q($z zqQ^8oi-xWEu#MibVJmt}!+z@{ zwUUi;B`OV}QCYA$eE6dJ*{UwNG-Bb7a#gcA&E(cJ*ks}d_b+d@nuIUm;tkDP3Hz2r zbp7_31H)GRShZf$u+@4F>i58~RX4vQ|vNE4f zhOPOKNn^TUD~+to=aXS;K4j9E9Gsli=ObX)YNso~ zuw~e4Cj{EbSJSr)TkV8EJNat*mSL-%5NIb~P2VzXwG#sEOjVc%Lv$K<620mD{Wn5f4O!&W^g zrA5cEl@=!I@x!oH4@zm#F>IxUiF*7nY}JEOT67FsXVc3ca$t3I;wvs?Osyafp%znbC5RK7}*-scFxY3&q z`&RUpjm78@FloROLi(r!F4O>Z~-E3Wkt!5ESvbhhc7`7HdCvAC#t+X++ zkUxg4h0sY`o?$C(j4b4jVQV3D(v}ypW%d)MjhPz$nEiw`KuK>>*tgQ_q4BJYfMKhQ zMfyXAt@LwL_Rz3Z#v=V8!&dq^Dtl?ce=S7i1R zwgE|H7sI|)PFXS`wPadSYRC!ioY7KBSULfm>hyI9kA!t1 z&`xS0TT5_1p=u`{>he|m!#9`gbHcg+XfLI(Z?%`#%hlT@%$8hwoc`S#m+VMr*lMTC zRkf3|_4&Gl@3&-q2(*)y83_$rsX#!b!iz|qSi&P=F;bvXVNAW(UlW@BgsGroVJFOf z!iDikS?&_PJ5CwWQMhB+iUP%?Y#6qZLOP0i3|mp4n3N5}R#HeuQIBCO3KWyFVc1Fv z=_u+kY(;@$QZ@`*Ng*9YJ%+6)P)y2(VJj)5qo~KQ6$Oe(*)VJ+g>)447`CE7F)15{ zt)!5Sq8`Im6euQT!?2YU(oxi7*op$hq-+?rl0rI)dJJ1ppqP{m!&XvAM^Vo*VQX?h ztkiDD?dpklFBZIeXE>e zLg_`ou$5kJ=6Yt>nu|$#Qw&?_ zj$tb;Ow{9tVXGdL(xPM7N(&S9_+i+p2c@*=7`D>FL_K~Ow(3DCEjos+v@lVRA0b<2 zKVdy+wOGgOCu}hRja47^t;R|j?baY**lG>#i>uYJwK&{bQ_8T_8r&CGt6^(#xV5H~ zVXHN`FRoU@*5Yt$O)0}xYj9s&tsz@xKjGr=OSF{PPnam&%~lrnt!C|*@<||I*h&KB zsOm6mMTKM%b_`odpd3{lhOMZOOu~*~D+!dNs>84q6_QEVF>ED)a#VE~wxU8Z2|I?Z zBv6j34#QSdNG4&&u$2VLQPmN$W%d(Bg=ma+%znZc!HwQ@*tep$Y%E5PfMF|oOyjd? z*oqI^=q($zqQ^8oi-xWEu#MibVJmt}R)hvQZmJiu7`w5doeUWvU{e+7I zt~JHNzSWxAO|6X~VAyI5uhwcCwpxpSW3?N$8pEr#+J>#x;@?>9hONf%YOS_mtF`zy zR=Z)VF}zx<9kONi6SkIzx@tH33G0F>ElOeEQfYC>_^|*2TkoCGvVe-oN(};G-%5=` z#*qaO2-z~Lj0HeSP1a>2{zz!pN)06oI1;jDK8;)eq|{`6aI@dHtkig99GM@1j@SEa zP0X)ia#Dl9mrwTDTAvz^j3e_Su>ZS0Ta)vvn4Hug@TzS-Td8r#II;i&;r-SEDkdv6 z2!w2z6?|$ancoq!pKyL`QkWC=trWUuT$+P`VQUT~DNZnKrI?&Ku7zxgIXNaFeFzNv zKjwZbeXPvqQP{W4CnobDl%{m^iAkE+IGQivCniVJGx=#iz>I`xV4+SQ%znZ;fl7y3 z*tgQ*jqze(1PogX_TXuR~ zOw_Yy zV4$f;stC@yGYz8^8f8lnhs3J?VfvQFRF;vogW*t=lPYt|sT>NY5K)~RPi!P_*op_) zIIM=Nj5ut!jZLpzJayh}`c}JeMHKce(LOFm?`gPFh~9F<7XQyhrf)?IX^iH=zSTCD zSBzMs-*oti$+ExSNs>*F?#%X z{Kr#IGHb&4QI4vHa3qY%UR&GY4yF7S%(LnWa($iBv1Ugs9%dk}wmXszMwo*z=O}7kNHDO6$v3{&zdN4iNlOehrplyf+?&}C zF2ZNKjazECgm`qtvVNA)&~B#z4exArlH7}Z{RfvdTMb{{tu3(3=dIR)-*D}Qt%f0M zVFANd3&C%=YQt8;khQRYVXKAUH(a%0t6|7mSirE=Lhu`|I%I419eTrtOo8|jXkCbw9w7FpoM7fp%eTjX;ZUXCuS$iif4lOVs`J9Dzq&{1ewHx=SM*~SwRoSTUvwc#k7|4|k2HgN zi9}#Nxa6$KAdV9|rJqDenpFjqIm4QCeTATm&mA2fL`86p@$~wYb+g4@%zj8V+cR0! zoY}dnXtkW3R*o-_rIj3|Pm4Ne7IaA<4?8Mgi==NZe6S8Z2Weq5gWQ0vPDY9(s%m9>!}V0 zrs~j1y^4j|Xfq}%?~K(M+E#Mco6cIQm#oZ$=y6M3((1L;HLRS+oTo2XAyCAd&hZpz zVM_I!l5)vb_Dq#cAyp6 z>F+c1u~oW7Db*!)$WnacGdH)Smi7Q9Xen=_>Z~Qmeh1OE2YH}# zsHaE}c|VjlB4r&S9wx2lIdubBQ2suWrx1f2UnDvv>~=aOj>Dn9oR2LuYCe(v&B=V( zZIRB+6TYX8Mp<_$N1mXvS`gC+$RJ+2KKnR9=+w%^#ay_wO0$7W1D%7Xh23kB7LBhGmk5OO253CL1!6|saG)vhElmk=MMIw*=$vN}&t@*05=gVln z^q@b?8ZB($w}c193K4$T3eJ8^cS{b+ARh1O@OZ#hy(ARk^(Rs50ZkcEU90k=mE#;z zLgi{*3Y1Tsl^jp>JvTPmw-UOH;%RQR)mgnK$ed>elGSCymi&Y{7gpDcsiI8HDBbz^MB;X|@E z_3($sOQCo@G>K0l6?KpWs`?bT5qQvx$A=_{rL5dFaW*L9?$hDlT?ypkv7)8WWAm&V zul(_EG{#oBmGSx&w{@W_Sic=72dp@{oA7NiQ_+JY64HOh!9o0?$7$s(N!_E!l}Ho8 zGdLl$h{Z0Sx~DO=Vt2??umA$#o~H#=Ojc?TFl;du(&vK*z#1a`v_<9CFxN!V)yzJ9-nLn6{JFDsR7Y0c?dJt59KoY=r4tMK z7#{Li9I{j|85&ZK4-NQr*1t8@w;apyULd(eq1bPIBn(!bUvybzEDXn|9DF>Qc_ygJ zTDeaJ!4s!PiX1F|f(kcs1x})gW0XGY!;3DnuGfJsdbFI{sB*bvITQgJZ0w&_HO3aN zcbgGxm0hgh5|2PS@BB8b@I#1d!Gpen<};&R)KT<7QLWYD*u9@?kga)odW)M;fAx09 zye;tWqr7k!^rQ7#PC)Z@Uv7}CCVjHSq7685(M2l?I@tx-sv0;_$d=P+->PVMTLv?0 z4#4lZBcbMoiS)?~sKRrmG|7XXPPT8QpzR>SQuB7O6gW7)3vc+vvSSNiSaN)c z!MbI~R(mp~FQUsz-&#oVH5G$v?Ku+OeEhQOTeZ1YxOXmA-a$j{l{Ho8x$moU(^yTD z^(KDdEru%>s95@w)JI;5LrWWX|B?D0eK6d(&XG?F+t;Fhap0~89;LN9-%d}|;KQr-Tp>mMf z%F`>Smpogu;k9fP&Ren~uX3kkWjY1(R)uQIf;%2u@@$1KZ8{3Zu3hR|6$9l_3MHI< z+}oBsTQv|(Egdy{txS64spZ0!sBHFhRje{6)#0H(S}tr=(J(zw6>XQuM?bSH*(&W; zn{QE`PX3X@DtO*VrU51XX z(xk>!`DM#i`5}50hE9qX9v^<7#1{KJPYbG=V;G3WI*)nUwmQm0^#g~m#^({kM z1K1_6`t_Grv6Z|7rp5*kh~T@C4b+xaRa=IhVdB0NVXOr4=)U1Cf+{o<)A_mY`+ZBq zWWTM=rfyz%>`%=|Sb0T-H(`?BHq)rYzV`o%VV|woD{8dmtDmdTP1xC{6+Dcp|2|UC~VE9 z`Y7s)$o{WOmMdF}0ITIi%t+XB3L0?0u+;!qEmt*cwVZ+m958Iv50+R^HNRkPG@09% z={H#ygWkf=Qj>TI$}i3{Yr@4a*P1eBBy0`ti>uYJ#lK%tr)1{Qdt{#U$dfm3@khdi z$K=SXO_jmQErJU>R$Mqc?pz_yHS<>7AV+84jD!(j89s81z|_Jfez3yyt%VUvQ?BV- zX=3AOz8JQShESUF4O?kq<7mDZwvL8Sn(_@>X=3AOz8JQShESUFL$=I*!Zfimk1t8@ zC$#7BgOeA$U~>3s?>rJbI9lk-q_aMIDi*>GFGb%bf7+4jxtJrCD)Ncnc*TzeL#tsW zR4mLgrnP&NKIt#Q`k`2k4=;;f`3$fO5#-<6(h^=g0x{Pi#feJtk*^(1snW61rKCLQ z&6UuQoSPM8%0(|r^jSuDP1kBd*y37w_(>mE)yyCo@Y8KEPd<|8W+`7eIQi@)_5jJR zdlARpGZSTN5gx`+q|~=6ZQGVm92aHMBuDWFDP2mn`fow3Dx~d zQ-yOJ-11KU?l|;tR`#-sRz4c@YHn?>)JPZ}@zvlLof57$oz=;7x>fdSdH&vUx&5_5 z;S>5=d96*muif5Hn8ZMok*y_V-rC>$W%E>bUT{*EVOH>|qhtYx+K#Q#2Tcn!s_87* zw1s#KeDGN_62^mU99G+|Z^Z#Z+t@U0wGCBpG-NBi_ZaPjX3V}OvY*hMcPn7bt!v19 zt|yzp_CbF?A$HQ#c4J}NKVc1OY9uAwuHEL%LCFH%RBhp! zuqh*^a6$+ewh{t5l4=ZFk-(Uc5yMtOKu1!IVJi|C6Eb4hN(ksksxfRu0%Jl(3|k2S z9Z5AITV_9DByh%SL>!n(;K@_GZCFOu!@jkQn%u@sHEgvLd4?^+Ry!fkPQIGHW!P#b z1lq}0)3*#;?Sw!(`5N}E+S^9jsU^$6*Q))5;bxZxxBpe`vTLwx)uz1$%U02ouZk@$ zQpf-S>?bU6@m%Oy$t(XQZAH#3%eWuxQRSoX{i^D&;b}n0_TI^Jdsuv_tv+&Y(T*&2!&-iXZ~{M%){$`BF58MC=v|Fx{yYUz5s zacb}Q>t)SW~1emiB%^SrhfDHmjN{ktK>K zEQ!JomcvL`8>^~Eu`&uc=eH5f1o_R1XYljaWpdJi!19>48pPUB4HigB{VP{4hmkNT zG~=$v^sO2Q+p0!l8j`-a#q_N-@KB!*rf=1UQ#!N^Tj}7TJ}(Sg_2HBbt&pv%?JVhV z&3G{zfvWw4vol)~54Z6}Q2D~9aDA4x3i_2HYLn{8(k30Bm8;V%uSo05u3;->fn)eJ zQZy zTfDHTs%N@obftr>X{sD=Ammju*+9v9RbO#!%Gio<0zJAZRSgrOiojw((m6ZBw-cOiPVrsh;_O05vCN*6M7`B?^V^x#o8!F!2ZJz2j z1lVllqd(}MU80HjO>Z%5H31)g+MJ*aT86Cz;cl`jGjBBsV4}qhTZzKmWMzh}CIL*e zc*s_wOPfSnxP&T;dOu+g@2i_F{tFD9PyaU2wf|In|8rB=&vhV+>*In=iY~m%$>ynE|LZ0CtLXXpd}@bF9i;)%<@^vx%p ze(8O`{PhP^FKwf2-lEQ5fBW$FHXVHU>TC91eA=1=Pv7}%5(Te#q23cHP$z!z*f&<- zq>{|4bF;Dq@@lk}Ncx3({Ng#ZH`8~(Piyjc_RAt&6JkB9=JCkx=L|(+Sa3i$7Uw>W zKqjO-z`%oT?76G1vLw5T1Gp&$bGPTAhx*f%O6qEz9Qpg4Tx9bct1c z*zhSh2-mg9Y_OjYS`|{P2qPFoYi%$^5wd+0?JjSXt1NnvD}mA!4eR`>gQIA>C6H7NBNB+t6Z*seajr{i?bUs* zx^ATSU7){j^IBRRY|hkffGz2d{kOAMwZ#i}s@2XuYO3%pukVvbRjpUy`i+sYRl8c{ zCj-mw4%&3E2(S)tQ!W^kIO>rQXUKYypD6Ck*Wya&4|0#Rh0>8)2V|3NUC$M{g8KU8 zCsI6vIOBjK@|Fh;pv(b0X2i$g*J!?T~-@X@~>*Q&Nl`-tU>a&e?Y8dK$TD)Ah&i zD{x=5RFk}L)s=GSWZnOHU%ViT))z0Gw?&o3jv8Loksw>{9T<;1Qc908K;1!Oa4E0n zc#bwmWLnCj)8}_vkt$`1QV4-cIC6A6C?IQ`BxPV(Im+sZBv!JK;IWHO!aYc zY?y~}Pk=BgSnH{aBvMd`BXb;}L2FdfIB|aTGP?m~_L{1z`1PdvR_LY(0zrd-+y+~6 zn(esyP0`Bc*!-fxEExv)tQo*rafIp5TGY3Sio62k*Bg)c>72!?7^IYkiVA-6QL6Nn z8aaj+Pa|cHuDkJ*NwJmv+7MZfUwsSR_TQ%A*TgD%Y|~9dJ@)7GFVE8TQ(h)B)pf(# z*WJ8+9UUoX&$-E^St=Y%vO74hH^iAEjCFCBq9Pr_*k&u?dWS7lICOgc#Nz4MpJwi% ze}98SnQ8kx%3e=Drq2D&|0t~dyW&3IdPL9$*WX^NxVfi8P0^O#%+xctyp)QClTT6H z=BDN9zNFZ)3jp#9!{|Rb5L&V<$HWaMb{(M=V3^GJgq7(TJ1+?VI!* zTSigK4-%WVi1Ag0+jLJr2%u-U1vchj;!KZ6C>EDa_5;;S`7Cj^7U7@x2)$5MrwWcL z40qC1m<_35cWEA37nQ(( za2sNe0Z}11YxEpuYds@5jzCF*;iVKOS8=#YA2}3=f?;L30b)w|@T^v3xqyvxiPscZ zb8^ibsvJu>8kM+R79OZbMFVis!C~{$i+htUX!mo;Xbdp<}WtiwdLLG z#3y#BGj8zie$_dsXd0Q;^|}AD)UW;^xBKR+sq4eydK-z&%7&5wcB}v1d{5{2KFnK) z++s}?r`J&~Us7ozaN!=GEnIJLi={T;9*AfX{{Xlm{N{v319JAZ`=$;&G8~;4nB<3w1MEc9 zGCnNHKA-!PA`-NUhOoX0Ja4KlaqH8G^{oz7u<$ep{tEn+BrEY#6qf2x4%+9^u536l zl{6{>yMi#!-IanB3q+C-IH4jN`8FQvJ7kgQ!NVxB@h^}bzCMVy4RTysd~8z6RIpoU zh`>^Y1Y1Rm@PwJyQxVA7f1Vgy+I_Wv&&cBixc)y^t&9lrcuJ_2Ag)Q2{dittyZE4j zr+dO171TJas)HP1Fv$_9$I?Na*5rXrR*H0OaHXBR36;p%`IWkjgunLw*xAC>tv&ka zwGZTr`+K^?%0iFzMU56yvymcZqrbg-dA*ubz*ruayYHBq2d$Dvbqk@fwFY-VIS%x&iUmIq>ID|ByU zNKipxXz+i8NB08cVbF3raCb7e;at83`N>14#g7?k3VKXQs(PDVn7e8{Ojt^cah~Jm zc-(c!=|?IAWo_yf)*xG-^iG!wXAD^tQXQ+vXdu|ZcKPWU`>KB?O42rgaMN=C{~bSD z%7^tLTy8XEXC<7jz#O?)V=EH7v08NK?O80u>%}avjHwDHd0>;6AVf#;d~M*|EFDH& zjwE|K&Y@tfvt2N6st_cF-b{ol4W3JG_~k58HeV0hIErgU&sl;a&C1&o`@{sE=BIGG zNCF>7>U%~eQP4K;PhEE>LW1aQzK?XciE~BjB->{`%norT#ilHNT0vm{#oKVkMCD0= zGm7{;a3br0KW2-OT+@+yzFo@^mhVzi;_S*k}Et>-GJIOTEJ*-7|o9 znxFQ$&N(!)@+VWHgRjs}-g~!_MQe+y8oeqwS4{c2Ga*%++xOJN!3WstrV)Avv*mhV%ic&Mz4uD>rVivDaaY`l+}>%m zK;uHT9t_!H-M%eb#wm0E$g%g_uV$*)qC1a__kk_ywed&lEz<+5d#49_XY3KM73g;?e)&UjT!$wO%IkOQ_Ti4TP*s}%mYL-sPi;9Y0=1!(7*`f zox!ipR9>eMn5|{vKW2!2{T&Q{lhiRCC_GHvGt<;__dcQrk#nt1woXK}L9u2YRu~EC z#4XRz%x5w59Z|gjTY4n?tO^Z2jk-^qrVP9x{)=3C?wRHh7}gchTeSJi!-t}%#(Uqp zV((j-MjV~3jQ-$%2E|4S_!HF~!9!AoFZgVy-3YtqG54Yy^ORt;{LT6Grw&C`OHI&=b= z*I-#CR87bE)Ei=FD;*785?+MgFMd^4-B~wQ5tdb1U3%6lYojhuGy;$?Z_9vn}%j zIM=`|NUJ{;Q*-qjNU7V667vlhU##jYew3zpi!WKR*rv=bH^K>OcB+ogyL7Lqy>Mc{ z*2KbE(d2HwFimXD18zlAD~2GXs*0SN!K2YKt8>=0Q7f_9janM9qN?7~iwnKw^rYBg z|0eiQ<`{{F(}tiMVJ~ zWqk4BP2WnAE$wFoGojxy4B%V=T}A3qNB{5&FPkcds_e8RQlrg_@#D(Nl4NTh04=J{ zn5d4{ZdXW8?Odd)d2`w};~RG-$(Fvv;z4ol&?M_7<*3BZvDDB=INxY7$LdiN{%~88 zY#r4dqp%3XWWpsOa6l3`0$BRwlxof*a&AD+UJ!MRxQ0|KWpKRC}(&6DmYSLM#~ zYF0F~Bf~(%=O3#iM#8z1O2o}Lnz_n5ucPUvIREP3PJ*oz1JG8kB{LGX6(lKk*07ag za^|>Z*qQ@LiW6dFs}rxR#fLlcwBM1``HcgaSN!oW^LTSEjZ9GnANd3i_TIl~lB2x1 zvhbSStDhUC&TsxOL~Qf;;e6+V^vf;lG9B09>v|6sDYN1U*eU2wju3-p=|iorULSnY zmB}N$Z}=w{zq;>c>(JW|pO4$}Tu;w#RC>>vKP~+F0|y^||8sZp+a#Y_b71e^eBj^% zS3mbJZ$15^w?F;4?{wY%`P*;Vf8=X-{N|bi)70_WH*YHTo^WOX?u}8;8vT3W?xs=7 zSvNT^y>9~5i{JUvzbAXm{j}xlzT0>CPnrGq>WbWR@N&%oKZ}oraeZG->S@B$(py?9uhOP<|9t2*$lE=4QE zxh{V@8ef7Q!530J`5CC$6NvkQt=DXOjK7L$(-0jX>m_59_g9|b#gk`Un|8bmKBIx5 zl)McS8aS{0Zft8p=VS&1pUUBrU4ApC>+X} zks=RU_Uf!{DJ3i^bSIiX0c8_j(Te~?INDo$rCmo?`RoaOQuO-OIu*71UirQVPa)aY zAuE4f1J{9Uf2!@kb_(-&&6Njo}bp@F?-tT?J*yF%~h7!z8I; zeB~9*^z<+yNBQgEeyeTPl6-(nGU6<*LYg;x@Zt;l#*uXRe4W_G-$!44o{)IWZg$PV z(eXcAbHLd-FzDc0!TCqWcjohB7j$9B+BBi^2UYf@H3xw4;EsNub!}Kzr!Ond8V%oL zW3kUHN*_yyfMO;XuQ!cCKJbFr*wPmi@0h@AYrmFr_+7fzNq0>#%tbGU_s*~d96A$sdn>AkuIMBn+9Reyz+cJ)YW644KR?KOXjDCVUL3pk6%H6~V0 z_&oXJo7J-ec_ZqQnd5ImpYFnIpadxp0cPDbk7uRI(#XyG@7_fPH#g;OC?fjNO<&JB zh(5Nme*$maz1iNG%OU!M0~s$1pY!kC&&6%pW^og|Q_q%&_MG{>uTDwiK78%(BHFt~ zj1+Kw^0qvV97SU-`mWsNd&h~*$WPCWk*(n30%LzTurT4lcgHu2g*e+1)1$be5N z-qoP%5stQx`BaZ&g`6d!gmSAnU0sg@PA}Cb1bAS_k$ViP>)S3k3ZoBl)KPwV=m#=% zF1}M8g{*l)jBK%kQhOPKh&h4dg6vFz9EpJmF}&!>MPC6n+c{HChT}iSLJL;E9}+|8 zms)%PJcg7D`%yneBKQn=Ov7f6vK!L!HB0Z+)w)h_X$)G<5ZjUd7Sh2E%&7blUQDC9 z7_AcejF{vAUblgxEX)+N7wr;k^X5+oLxj z%%4M9MmSGYAgt?zj*fMZgD8!VcQSHW8Bx5?3nL-w4=xJ?75?-hgp+MmKn2HvSj0%_ zBhS`aN>%&OrjH-*imz{BNaMlgUDn8x*$dTu_kMI+{(N^OhNWMfIHl;e*JN&e?3v!Z zmpuQ^>%KRB@>G5W?cAr^v##)jBESrJiB;UR@+@`S;WKy8Bb$5n>=-|^w)eyDE&j$| zSjGLBYv|x~?3h{Z<5zs}a}QWQ8tPstoKtC%@B1Drtio6)9Ng38;KduLvqQZU zZ|Pm>sFRADchKFrm3vQm;PXAFsPo^NdxP~@Zl&nB_fXFz4BdUkO1>^nJmrgmo71dZ@}>CLf^U4O0r%Vc1)YRT_;lZ0HzyGg!uiV~AwO|& z?C#yejzWsavj!r$kT5O#ZLn8Xlg4O zbz}!G5iuQ7cRyU16qqF;=y3336>LfLR=FMb1$y3+%Il|z0agG<3LQ`KTX$Ux@A1?X z`?ppojVfrA92!Rt;z0tH@XKa9*9%7=%d>1tI#|qL-h;A264B5p zED5Y3L(Zju$gw2Zc|2thQlg3gO?419KL!jf`UKFzxAcL&}Ag zb>XKKes9l{s;SXyGM0VXYpv_tH96~upj;;v_jg&ht+=Ac z-XKmqh$vM(=OHc-hu4AtS&^f#a$lwTF_ldM!BH0%wbLcN4qVi@ueKbZ#iJ0E zC025(U+@S_+fWqWaj?g6o|Nd{Lu$Z*1!r>A25B8n+mvu*mpkxr3<2(o?VH#uTMY<2 z_`o}Vl^=yeFa;50aW|PnayKFa82FrV>I?g$4L9L}ZX(KO6&?|CvHn3mHZ8*WoA~-x zhw}Y9H!FBjdXZO95)Hv~9$p(`FjF3eur3Uh)|Au?SuP#qpR&**^f8O0ja9V?MgOu98jB$c9g1zOtd>Y=`oy z7k#2Y66V5Mu>$cW@B%eLc1gUW9s_F+rv^FrwIkH05Z%t}qXJKKuw#={vH&$)nuwA; zi~|WiG%Zj3R(x!Q&f#LrlPzg5i-y4c(Sv;TVYWsDy@ba3MDoST$^Zy%p*{*e_t?CC z6f`&ti2Jm%doKV34Vnp=M@gu2w?v;{%afyc`>{<*FrPFgeZ?MxSthZ-9FqdY^<{xh zm{$r>$2Z5Gu?I-;#dn9`B!?6#;^z`_Tv8EC@v;xec0YCFjOkBt)K7Gvrw~R(PKja8 zT)gzh_%=y9Q&e!+n%Y8VlG?ZKw7W7FU-*YKvGP_@`zcG`p?m2>$~^Scd0)Aa-<~cc zoe1T-=&-_KM1Moe`mXv}cPC9}^S#GDw)HUWDE{!wZ0-?q&hhBdm1O;JdS$2DM`xaO z>(qV}Sv>|MhUxTMgvj;MQ-AsHb#fK0+tO?O=Lu(9Q#8?cBjK&P_Z8Hx1J+Xy{rsaB zevwwZ_^v%uXJqMuZ@oh8<9ADcFVDS8xSMDncjOVguNRLcAI-0}uyp9L`&4eFb<)4| zI~@~`*!g3uVjk1ykq`G|6sp=`IlH>a`saP?m_+X@WOpdMSGPc4v8H|MV5HmW5FAB4d83Ee)g zPap})a|QQCbAxQ6+j54vdnodwD8f!J$<8@ks39_LqaF+Pf zng?`1cmj7epJF)1Jj{1UgiZ#lHXWjVkQz=nFvF(YF6#D%d5-UxWF$}5?`2nb>#p2~ zsl~w!wgBW%rV*~nmI(V|>l2d;8Pi83ts+>(#U#}#k_uyQQSiOhB$Dmy2A+*^gN1f< zu{B*-9)n8-?cmNyiVw}oi*AaKEgMK^H_=wUK*f4LN;mOD2GFyJBS&BA2;I$55XNM& zK8wJ63vF9Cg80W%(V2h)=Z#Nt$f1Ljm68S~`sIbJ?HB8Ji|AN{{x`@rP#1I{f)B2@ zUh@0lN0oHk0@?C>be}wp;(3HtaG(Rxc>6&dx%aTJeauc$aRh?-vrE9|(IG^*BriPZ zM$Bg@kE_bY6-5ev5X(O`b9`6a-?sZlH}fZQr1$B4qhC7B^&Wm7w+5}xt2aN3SM1KX zD?c|q((|I}(HB02oS$&6)w^X{u@cM7w0ix=<>-&F?pyzaoBeTKo%K!RKJR)nGj1k7 zJ<{na1@^t(&-s?|me*&lb-h=g=Jw8@*UZr95xf$X?!glWo6gZZ3Fko)-SjgWeAuQQ zjD++Sv3?^u9KUAQf9oz@>&j7EM@ejasyJf(-edIPPrBY6ceowzpb_U<+x{`$6#P)1 z+x0)ugs+amTbEz&+cWKsobdKX5g+gS+s}?$huo1BcYa*ArrE<2uZ*v6Y2W_QJ!YR8 z>A7#8`qGT+eJ6NzF|*Z;_v-%C?fuO3$T7%$VG(Fg`fMF3vDLd#j`Vy%s-J(s^*-~U z+j*%_nR|S;-uJxQV@;3ruA5RQ<;?_abquSYmpb@oerCK*yjS{MB7p1(N&jn{`=2fzNIA+@BolWr8>7s%g(X?Ro!w zzCHg+4_)cGKgE5MvyE>0sb$~t9?$*jQ$6an_%*xQGTyoyMA5hKwqHc8J{tQDa(g^? z`d41>1y9nAdpFS?cvJA68Lzi%H{JZ7$er=JwrrWOzVj>kvuD47=tS4w;(flozarK9 zu~Lhg3H(v;rdGbFW0IE+>?3~B%;XQy?Pb3Q#xEB^%w4?jY`Ky(HRc$Us-`pib6pW@aa zd~+_W%^=(XQbBAtPCvzOEphZ=T>p7+a4PH|lM6slUn$B#{9zeN=StG=O3eR%30XBm?!)ciuE`q^`dnsTj1g++@+BBV0P=bw-c>Z^alqDmMR|J z_|eR-KXB(c?|$y)i%CnShUi09^zxgNE z|HjrAe(Hiv-qib_o1)Hx&qlA&JeH;;UyXr?T$>PdghcnID185n04fDynd`WHZ88(-i6N$?FnZ+>R;t; z!wtCWzIfI44qR-*qa=oTjZzi|kDO0NJrYR*6)D%T6?=#$GJ@~A@GJ#g7Jrb+f_}=P zk5~RMHnwb0@)NU!P5lfXi_pg!2Z`2B`00Tzo~9yXZ5tSKY%$!2#dAi%fC~^=T!Dja zV^4%c0FUh*K*m35$k9P1P!zqG-M~*#yHer44nMd_o7eXAQ8$372_d!;}+xf2Fhg5yJ-~<<6ll>tkPK-G)qI60j@>f0zNOtO z94VCDw6L{K=_uxxc||pJ=dZ<`aBga4N0B!WVvEJ`*fBU|Z~OC;v4L>+6I+~VH#d>} zXLSpW+~nMeVs)0IqHjAiZoko!c%G5-`aJa}Jd?0it=>Si#(<@XK6`VdJUbgtct`LcF;%K5ayNq#3JUmh;O#(E; zTjTw>{;3M>^uM(Yw4?i)bK~Ly8RIyIMFUEb$lH>f5VhFpZECof>G_HGOb#?l&A~Mm1 zBu*CpQe=gwk~Zf?CQrooi%!|%e{-w?9>51u?6Ix8uepITMLecf)BNyL|MhM;#J_k;1n^n&}2ojG#zTG8_=x?ti^mVSbVn!DMxY{gugDL#o0v9r1D zeal{}I82v(1U~z6tIGFus42>2=%0733{#^x7vj6$!c~XQnJTV$1y(Rd>6GwF46>cD zEjB(G!2+102l)D2g=Jh(q9ARv_4sJ@??m1P8k6V*yxFLOO({z_9?s}f4wA=hMIYBu z$w$Qpl5&#t*IdROSmn=*ai2up85bX*DRAmZ?n5H)oIQ#&K7&!)@o^WAf&zc|Y8M}U z!ADlzwuB9j&f^wIW%ZvIXVxHQ|fk6W{Uao%h>ptR9eLaU$nP zJ_y9`ePo233-DdKoDfJ2<}J>hCH4ps6qK>J87p8H5Ta6sO1MFG9jUl}-d-4?yr(}l zw&10MSv>1Wgo?mrGj=g-c3lAw1%8Lneyd%0Kl1#wt|A3BOcKR?$91^-Z2cqmfb^Pa zjQrEboOup?ZSyg^tS_IRJ4-!vj#`nKvaSN@s`FSYJ?;Y0iAb=R#akjix)?D**EKendM zIM7wt^6nGY=d9y0hh+g?O!+3lW2SfrjhJ4SxzC!qdXlU!7uI%uB+R65sA;T=c01S_ za(}7zQf@PWtDf-LLgg`t$76{WiKB~etxot+;_fx%Z(*&z`Y;u(vib?!DOPpyz=$Jq zn{qb@vbJ|Q896EVGj&`HJa55NKTkjvBIs7~KF-~jC0tC~wiGb6O}-eDoj}LtGWO`U z{1CoUj2wt0YXpvMutmY{*@^lTi36Oa`ygxhVq>60y%wEnQwNf4)IS_%f?QTdobL@e zJ1P-hSQ%&EVmCd#%MWcs2vdq3d`m~6CBB3}D?h3LjYXF5WB#=%ycfCl0RK35mVrZF z2Bq_C6rwtm@=z===wPz6B@cYF7q}Zk96+P|2G$K92~HQ8RjK}+ws~-&k`<% zu&f@ad4Dd;E`2N?iUMKOThNz;lf|yavfxVMY*EBG8Lhl)Puy%V8l*4IV6EpjU{as- z`ap-2KH_>D0Uc8MKXQ+>?VAC_q`xr+QLIw1T7yj>?FhYrP)wqf5_+c6Miln@PB8cZ zn>rCXj2-`p?cfMsJ;;*4#+MPN=u<|L#*m3`7}yGmd4!?dkH0yhCn_c?@9-61u~k*l z!py5L6>oJ#Vkx(oz}`z^WD9r{cz|bUx&0?nVLv}Ro4{X@^1YUNhNGpH@?G%1VoEq= zqF`IsEA$}B6#sZ_@2+V&^Ezv4{{c&U`&aAwzcfWXy;OMr{ZOCAbu20KFxi)O!=|nX zTNAB6*u8=t=@EXfcpQ)JrS(|xsdc-lux{(Wyn^sGvgsb|81$%n%UAk-fMWmJbA_96 zr?OiQTaRCTi}k&S4$=E3X;tPhb%HnAQow=bQcZ-j35(Lgx?}D;wE(Za=X_`<-}c#( zH6V`=x}D>#z<_-cuU?~)&uod2EkUDzG89~fm=a!qD5nYhDXIA6_dJ{!>ywakBX`Ad zM0j43%E47U>Nx84Na(uoC8H=mNH{93L3}x!id!(fl0=xvS0pFVO>_*0A_s7jwWA-E zyi^G8W0T$ns8QDj8XQMJa3_(1lp5o*tA>fLcTj4*($B%{Nrbgn`dDCRE%_2X_4d*B z?Oo{gB~b`TTSqHWOWBJKeD~jCWNVgJLx}4(2o6wz54Em4J0qcB;b4)?o5_xs_#BGl z5TFt;mAsy@`OV&SO_|*ZpMUK}oCKS0tv`Z<;etT0se& z-t6I@UK1xxH9Lw^AU(VWL2`JxkNL?YeP!WyW+n_lAvqo23&Wkp1it%VS#oSWfp1@ge}c8y z!b$5r8WX{V0$P->gR&Nv4<_mwWqrMdkA=6z$kvZs?|l`$=hLqDFToBq;)Y>Q;2eKD z-#3k4e&2^&$V=Sb-bpp|@bu`z*xmN&PrJQOfA)4{`6VgmC zlZt+Z;kG2!${P!#bcgG_<*x*FOsehw>$XxJxWbQQD_lx_TOY>dgqwwkG= zx4nWbApVNyeGmh-HqB{8W-GHb?YHkUZtv$NN4o!gQa$(%Q2Qen8(c=Zp8{JycfFsK z*kWbxE#skO`Y^WndBHZ*-r#zl##Yl+BReclee2nQ)m!re;6v{id`HCw!j)f8y}ue* zeeHh@WVT}S;k7m{i-FZQ^bTk@J5Kez(h+OL$rFn9xU28^^8xA}9+BDeD|3&%=YB-N z7Pc2g$kxycV`K|Ydp`VcrD^uJ?(y7r@cIGLdoB@n{poP^;T_njcVft**D>=k;$4Fe z{n~T4&3N78BXq<6c@iZ&_ZJWPrm#g2;<}$JRdnZnc~Fc^yb6PJEyfeZxPfotd9?cQH*5R;&*2`8mM73B>Q92 zG>oZ|E%3bql(3yq?-+zf9nVkE%=1V>GIFsq+t(}^2))t?T?Md(ZH=GCBsxv*2Ct-s z07gQvrL3(-l-^HRnYZ|`x9#_0>sxw1AzaH@Q`*7KoIdURXTfLd@6X1Ah1_*-I%Wzz zgR)z8@~khn@7Zu%*B>1CWv~d_HeMtw12_4vI=m-bk_FEexd1P|@>#yvm7FH5mWgtX)+n`h-0BEIS1b(x-P3;kcFd#}Fx@CBzGeE8LCrw?X6a`yIBD}JcX z80kF!zY5f;dY<0+oyX|akA4N03fpi_|Au$)n)Ot;H_MAYYYh8GQ1knrgIVhUZ6G`s zBq{_II*)Q08rqE&Xyy9}b?V{{V;_i(Ehm3(;FHp4DfKDiU!l&xYAl%l5|jx}whjr4 z&s|y1bF+VB19g_}k4a`o>JPCH2nJG}rw>9O^ZMvNSHN_kNzzGtkYUK1fB`mwdWT5~ z!pmrc&=ujK)1|8&Yc+Ls7Nx}Y&S3!$I`E1`1p{0}%LIzYe8Qa#1cWJxqczE~lGu*k z6IhCerZ|C(2CI68ivsE(jM;I>8&aINTJ8qAylltPTT-jav&T^UpbYM%X2|rl| zxw!$x*(R}5Stw|W!x!Wqy}wXEklz#p=~v_keFJ?**MYk+To*Z&WtD&OKRaN;wD4|2 zvP-Sw25C{j868o-s<9MZbl}7X<76xA$TQisea;pP@dP+eQ8?qf@rdHhX_s(}4jqFR zWIzD0qLDkqr`COnqu`;yh!oe3=_?nR6>_p4o*2~AVV5)g#R6e;yDgI~0%&*JT~owz zjz3uWPbRD)C*``1BYKF`%|dxaY%hE)yW_*3Le)a`9l(CVpRKfhv5`h@*`r=HwU2Vg z+)yY6Hn?z?w;NvZ>LU*;{8bd~WMP$_lvm^mI`_#{SWzJtiQI(guMY%s8K*WOJs*#g zEnM5UlEH^H##gPYIKm>3ga1ND{ICv6vL;r$Dz76tU*SDz=mL0RXvrW|pF|ju(hoTb zxZtyn?K)~prO(^AUWniQlpo|S#SfDtY6ivYX*=pw*n@DpN4bfKjQ5+E{4l(N3iuFo zC=RoT;Hu#_uxSx6;fLUW3fd%t6pku{F=j>}*L)*Zwt%1SBtAWWDDo#Q-EjyLgW^;X zOfZ5-a@|u6dHY&qJFV()lj+NpRbbm5Gj5A9R|;bj7n1P)AWm?y@i}270I( zI-;NG1s1&siISfPR!Ye^To^1;ANew22_|E@aaczOz#l~NiYf{@zBf*`N+{^gr2SPm z;t8!DT!K4Kq5GN6<<>b=WF_WV;^dT#aOx}(O7~vdE&A7i(Auz~MX=^x9r{X$mq&mdga%jSkZrX zuJfg5-T(6g!(E54i@`4L?=4sd3eJ7B4}(4p<+*Ls*^3lDUqUC6y%@UyE+c_pp01+e zzTS@U$Dhc*(fj@`XYXzIPdWE}@sICad&7&Kzz1oMqU>En$6Qy`%Y#gj7uC2$KHK`) z?wjBF?EO#w&%;~p*lFE|b&cBFKla|^-}Z^Krsxggz0cz7Cf8h;J*;-B&6)lmFt35+ zGTz<8E3dKe2Eq>YgJSMFy7%pG;=GeHWEa==dUx+yx!O{{)zhn{Z0skzcmK+3Fv_m& zSAl`%PQkW}8h8H9+2ztgI=IxvFfw*kLy?o*6Ek77~u6A_a*7z zP06?q9pos6N69Bw3LF*wNbn&LMKJF2o-mak1n=pA{Dt`ShU(xQaTwiIS75Y_Lnx!B@qY>@EZs%ymX2O zINUGrv4dz*;MHu1B3HR^mUA8c`b4$o9ABhd@h8#uEmC?Mz?_BA*KzdLIN2S2!2yL5k4ot!_ViCySuZw{#_zJOPk+6{PU3Jk8 z+I}v-lgn?ugw9=~NW@jhEYKh{HgsT~_Gr|Wn6MQxAqTNH50|q-5Ja;Xfj^xK5QM~@ z8CS^lG{||bP(ZN=yl6eN4pIOUvdBgje=JOD413$jv9_~!{B} z@xh7=z9GR1z9@%3#YR|$I|j!GL$*?Z`o8$MK==9|(inrB=7CXQ)xZrjqkmm55C&GgIdEsxk+I<4ZaF2XiH z?4h5cSG~!aLf`r~F6%!hT;-@>H9GB_@{l#vS@be{f1R_vpM8DvR|K8$Ijhif>blN@ znc|jvtg+YJvS(`LJ}eEqY)_Xp_1{O>jF#LADq@?CIE@--9>a@aqcihVu6mrr9qK>+($=UKqS#oUfM{LU70a)MmE%y@vdOH6U7NHD!p|3^6Ep@8@F}2?MXX@cI!tpI`SPUFvsoZWbA< z$(90xHU8_M5n`V)qEELJl zq&-VXo_d)VM2B$F;{N~aeGPnE#dYtQyLVT2<+b)o2(y4Ak7Qv)aKqY=U{WO>2?2r< zEJzIIBlQ{+Vp_Kd2*orco|T0W#NdTeYEl|6gpek$Nlen0w9SXFKWd!T!G`qZ=QIR1 zl#(=YVQm5!u&wt$Gj~^7*#_I%4Sv7ZV{2y4%$cuq=KG$VIfqf+07~iR5s^`7U1`*k zsmBiwe!q6o0>i+EJWS#FL&~%})DT=Z3vume>7=c%=6MUv@?KONYX?mO9dXTdH5!AZ zYI0j;CSV#X&1&Fkg4`j2xLEwr5M~affZmgKOL3W(Biur9!g~X{VrG@XiXd?;M7bd$ zZU;)O5d~e0{d_DC*$x2`)ZBe|uGL7^f%?6)VQe9H4+{~kAV!I}GyqQre?r0-KQuj1 z3u#GPuvw1Su?SiX`qCCxr=5>%ZQOOk6k15zQfJ>+q(7XqyKpO1kGB_NQ@>PnX{DqY zL<=A7cE#p?65J8FqPxJBKB7$!#tLSV!0=<1Y!FUl`%^6uU5qDU*If-Q(X)rX))M*q zzW96YIREL(vENFy35mr5$P>)lc8;hLG+_y0H})Uu^tuccCv`qCxuo!47T zcFqz1{Rd~$*}uIyrt)c;^>SbEhMg}FnVczXk|-2g@Cz;XJ;~PRMH`9s3_K-n-Mu`& zWFm>5n)?Y|f3-Qh5_@*FNZvt?ALf0z7Sbx0O9&T@P+^E4z{crwIJ`E^)xzYZ%xSL6+7b^3kM6_tiffCWV%`0>eLPl&^ zK*HSe0m_i+iP$Y60UXA6HDLvZEcoYPT~x0kgD$q<9Zno;8+1N*GbzatEg)3@W;_K8 zD#0dAlNoR&ddNko(7BLy$=9gzbB)FiClqTTEoLo1`i|ml6e(z40z46ioZyU7R$_R> zw9Y()UKk|e;Fub9cVd`h?gz1n0o$J1nA(CGpi4CuV7A0ZDG5&j_6R4_Y>o{ThM>iq zFDVT%Yjy#`Qfc(s4CJ9%4N&7JFRn(QQ-^&y)&lLk_~v534X%;bg5 zLzao$kQn<(7Lglfr8ta3d$H5B?s1wwuBARxYItDl(A$jZ4@4)zF+`R{9)g#|Hdww^Gcvi3Ze3$@HrIPEz(#eBk9#A`?JZH zivurh^?X|EC2@~la&1u$zmAm6`yu$GR@5QAw6;Nq6EdkUp`P_};NMI7gn3QFfmN!R zOLdW)dxI({z5OZIg@QzYsBN@ z@;O|omQC&nrS&@6DL`z~#x84)8jPlR4O>Ax?CY1HsYt`n4ei&-y+wUGP|;%JCd<;Y zk%*IOzT@K^-ioKQJ?kObl0IQRtM;tdw=nv#j=Il&GpqJ}QxErf&8HVT!nka)w4h6x zWsSiNqcjE^cInQqLRwpgB9-?OMgx}C(|S}IN9^3brgqZWG30ySeG5P1c$l(FpQ6)$ zh-(8s?N4~^UdpZ&(5Usj;Xg*`&hTPD@@|oS-J5xezWH!iA3M_|cUttTV`}L~-Y;n7 z(w(%g;Cp*8>_wlVJKkJJFQbnx9ky}H!=H`on54W1AHlCqT4`|oPUp`;eZoW+t;GF= z*y?&qi-?Pqw+V+XviB2?dY@LXiHw30N$!U>VD zJB&zj*Gsy#2wvh3OP=I>qtRqWL(sD?)<4!Rr^Z(fMxe&c8iQXPqcPY?tUwsWg@m}N z6=g8@6NTzJ;Zlfq>1lalVrg7y@Y68CV3A7ftcaF}iKlRz{(H zmrfg944S{UKz`%$oy*Pzy91!B)w~mze_%R?26BOi-_18J|HK!c1)5e%Cu-OfbJ+xk ziy5|J-!kfbnT!8&lalo-BHx-;nr32=l}1&-ci?a(WZr;(el&(kU72XXFFb5 z`wYGHnICNZ{me-1lo5Q@cZMJHAUVN^1_B3vH3SwzLT^{ z&0HRG9w9F=su*a8X==m%r(ATR^;KMThCT_ldkUitFRO4xHRklQb%8s(l2YSjr#|m4 z<4`P{^PqrK!<4b;GAI_At`>;bhYx0cG=5(ewDLxc4_BPES;guIp;H z{oVo2)~6W{d2WY&61Oj{uvbeVZj%dxGY+BTP!>Ny6J7EZ1z$5Xr9-MPG(`E-C3=C$ zGz>p-t8mFXNvl*st}3(Rkf9M--1_g90HzcQTQL2*tYA=2P&T^yG$mnO8{1 z@9{MD1x8@lVT&Nfi7BT$gS4^li#eQ`F|ZOR$r$Hm93?R#*ix_=hQL)4vac$9Ipjzo zamqVM>+n+!D~DaZ0Sx^H;yT9DfB~n?G<3NA?E&O4ILP}>MD&N+u;*Muipew|eMop} zY6EIEFYF&?K(vf8a`0*(gIbT?=pfM9MBWNT?M*_-KHS*eICK{}RW}mJZ)?M#d`5j# z%t&hxwygtG7ZNlTmEGVOT`-^ zySGyIPI}>kL%4x(b%W~Milmx)IvEYx7c{a0VP?h2Pi8#-zhYDOK7#uR=|^%P<9T0f zUmw2)p^aBgcQcfVJ!&qg;k+1<_sVnzcJaOxW>!BoJ*pK@fT_`>jByudp1uT*~ zmWM13kV!qI%>kXnL6>=6gd^emJ%Ye={DX*H^)YfJPK}~`zz;vf*Ag~y1FI|nXW;j- z$w!^0kQOPMO`*#>-(gpWPZCxyl7uyW_`O(7r4_cZkb_`>scUwm+!D^_?hr=}4I|NN z0Sa7%1*PN;SV#$z55VDG1hC6BrIo?zC3gyV4miwjtW+{U5qk&|Bzv?Pjtw{&-dV{$ zj9VICx`r|=X_-gP8gnEe6d!vf3W^?QP?%oTOzBzy?)|q+JxJlik%;LHZvU;3vQsN&)1!5 zW0!yJs@H-k8|cq&y=PJ6uKxFYdHZYs)%g>D0CyYKJ+pK6f3A|T`pwkTxbly>bYDw9 zltQmMPW&=bKwTJO!SwSeSd{!DF_=!Aq<^sy)9GuyQ_!ve5<@N?IKJYd4C>3Fc!fE= z{_=*&klEz+Y>{ck3*TRSxY9a|95tjxQepTYv^+F4mm4sqEXA!Iq4>jvKxpJVL?K+^ zqg}jf622;7SaPsV^Iz6)=Z7mT{myx6)S1a7lY#?X z*!w7;-JV9B9Jst`_^_0wA?5YD3z$QLdH$;5%v17V9d{w8UMQ3KkYp~0G$1lOm?h(o zpbx#5R+tcB27+=Sel4Y-jDx!$xm_sit2{GY`Z0?& zE~VBDNWT|1#;{7F=QlbT>wW9=acqmC|GVo&63{=s8a#1%%OehLB zfTFMuW=d&E#%KPf7B`Gb)Qd~kfj<|wKVpnPiM=*d0}HBwAqNAbPIU0ye!Mo6^{&zs z^fYqsVIDcy@%XZtdL6%=zdrjHgEcb4A^2miC7_?<;;qJe(Z(a(90F{C$`|tFyFFTS=rtY$FC_(OP`YLjbaslCE2h>it{r8GFt9|*0BH%(qdu3d_t8ju5Q;w4t~97Z)JU*QH4ev9uN-dQI<(9LON=2h=lxTINnePrD%{=h zk$X3O{4cOPYmLb>ij?{?T|mj(&VK0HpZ_wq&iUXIjnB_Yp0RLnXG7iQetp~L8n?|7 z{)SaIG@P6*E>G3T8|i`br(UzBZtC9Rq*QYE(303m%ltJTuDk82fz-;!gDWobHvG$r z`sAS-?uk6%2X(=$U+%e~rFF(D1I`l^2x@;}`+`K<31_Z2Yh~l${1x819gnx*e){FJ z;*Ae9-kmPsSNe53>Vo6(8nU~R7JmIF&u-jPj75RYd7czki^p2LUkXwjs;RqpsH{FgK-gkK(s|3V9BB-I&=l#zvvc^a)Ai zG^B~N2s2(NE~LUbI}TT{#8-8A^ zcOzw~Xi$9bqWeAk(1a+j38tBx^5|*+EDV&-N;KULH4b1ii4jyf$MEDaiNg~P-UZd* zfoqxKZpINHWavVW94-}Y)aw=43mU8#sg>{JoB=*-Xu$Yp-ojI78ad$X1UyrCWjsrD zyor*KkZC*uktO~Z?RgxwL_(5uBey5`nEajcB_iE) z_Lf&>KleC(nLI~sn~|jRo_u}vf;Ea7=Dfdv)5NYNuYC9<^cC;!bmsdj2)f1bsk)!e zxPxxQED_o9B7P%QoOsrqGV@?kME>qO_s|)|Nex@m*9#I0H!l4`2G3xn?##tdkac@r z+c?b&8cyH3yRc+6E!^|!ij#9t$N!CZzBfVgnT0LWhPE|y&YAJ77ZVHr@Fu=#KDPK@ zXKaS@A!@uT!re9FZs+Su$zz#HA` z$P^wpcFu3th=8$FDnwC;)s4^uNZQE_Iy9qht0U1Fun_1)82)A3fnZaGQ5RWQNICdq zr3jbUJ*Cl$AL+i(Ye$&w#=0K=ow!0@6Bw}hGTgS*L=X2-dacyApmZ>ZE+ZREHqdle zh=~#1wK}CH2h-UR+oJ+P1H1(4;ztWWQEI2p7Giv6$7!{amIR-|893**qH56~j@3B$ zQ(_;g1OWDecCZMTN%*-F(4iTOMloA3<6I3UU@maA*)xOv_aO$RGqaUCL4qdDV;Y~_ z4Vr+< zEg<%y5>C%iG$<*+jLt8LkPgU!??8&YZg2!kGN7!+qF|Hx0ExcUNU?6L7{CC`2T%b- zJjv6V$}^Sga1vR9mZ{{439JjiCgNT+FnFwzpz$5f4XXppTfK^GENDFc_;A*-Mlj|svu=kK?MiwZvPzi*N0Zq*s5{^?BR)z$@H3O># zG_S6uw77&;>1<_wU>KA1b$`$07870zG;IIOVK2HeujdT!a+X4^Z0V&SE@bP5chO~Y zJ^!ueyyRO&ebJP@0qCFkJKprfO_^+Rbw<+#(0w}_7vC(VT}LLVHt+8#Tsf!=o7NGVG{al zc>XvB;>7&*qlk{&87!^2v?8+$Suj%1hqNA;Vx)yzAvMbEpSc^%$U`TvsAuEB>07S# zB1?-GH$CTD>1=W~;^M93w@&rE*ZZ;!|J<) zsQ#>IZZCXm*lSLX(kFbtNJ~Itko5`oKw4jXzGD>kS^F~?Y8##oY2o=}RxeDc5ZU>OX*o4O%!iP!k?jrh>@3j{3 zGP%)mMCTf#Pw2;ozm^kF5oCSBxx+axcekk#R|mlQgt66l@A#D;QWDyRc>Xv#{07~L z)T;p>y~*}1Zt^vOhvjXMC7m3bX zYt##&ert$t*+a=+^bsBphqQ3e0qwsZu0fAUlZUwZVZ!6#2tjDk>h)P^VPM2a_%)3% zs{d++US3M{i7z2#;KK!aj{V_IB9piJiT;7;zfp{DY%{(DVh$MrG5j?>0V;y5PdGfR zX*H))25u$s>k+zVH_e5%A)Y^u4)3Nomumdr2Q_%dr2Z2bTm`s-up(TDB09l^*PgkZ z>V6N3N6<(~vr@{$6?JDl3$?tT>ht?I>rCU|dEfs@WT8%N{q!p@lJ}vzW=EEv~kpYas4vH94 zs_BYO(vE~0Abx@*N%3h@iS7bRpTjndA?~hlBd6YvLIoNnz!>^iFLbZ?BE(B{6P?dr zLc}9(j%k~kIx=jBM^V(uzSvbnjVHTNWSa<(hOG5=QPvPJs#FD*y;OZ^$$J$T#q74A z&>sTKQkA1EE?oy`$#umy_n0}4YLdExx4mGsRtRo0pVdi9%yHDAUOk$#D#<4dK&#kVW9|6 zwtKipi2-|PmxM( zo)nZ)Q=Br6_@o?S?nj(0o0PIWK)?q%^>8>&ReBzQh!&lJeOXVN#Qi{s^cbB@sr8v0 zv9!=h;FnrYy(?m;AHO1!(mWEbZ$u$@B;-_JNMEzd-a6PO;S?&5Y&^KQ@o63j-BRg{ zXLXD{AFP42vM3u)dARglU{_Z6X9%s-r|BpC4a7!GpPC1z_|C~EeLq7&x9V9fY47-j z4GRJey1)(CQ_8}cDF+L%=33SJ{0HOE3+{`t1g=q+IMHmGX38Az&MQNzNwK%iZ zbILEAFfH&>{VnUBiEwC;8jxPR;K{aj$~Kr|UN7}u(^?-p7sSOcoT#B~_#cU`f7-YZN6M0IT;4Qej zz~0pYS(7`|G>Yf2c))E1M%;z5pPRT#aPg-J4?&)gbhkz*gbMt4(fc4tgleICnV7#e zFq;gd+^nPFLfkZaASi^80_PYA#WEhn86 zs3G8d0+Sb~Q7;z30-$N>Op z%qkhlXKT>6xNNrcsDDpIN$r@9vBH41sBSil2?+<3jU3*Jp{>;2P;l>6mdqR%S!ac5 z^K}j5S#m-mVm-7>IZ=r}`wFQghFVS^ zkQw5yQheRRAc7sB3N{y~3??R`xTfr!nn0LE%0v!w0{GqefbD9*^z&-aw<3EKovfkt z_p;bEdD&;SHN1A(uAv7ure5}Ay|KkzL$|&!Iy7zL-#z9pUUK`N;=YUbMf8i5da+es z@nk~$%dW}M>}#7QucV%f8&2z**xzvWKfJy%^||cE%kTK?)Gcp#t@;C-CeIPU8ozKfLM*e7-Do>RAqhWS4t zcgF%ZLxqjs+bSpZ>cx?b(mQR{Ym?UgtBfwGOGFyhP-Ye_r(aVYPfdV6iUiF`3NHUT z9Kn00>R_VQPNtErirm(pI$3`^m@Ftb-np&ou9+=2-1+xVFKoU2_&O7}O2(vrt%0=g z^Kg7NB6&(ZS}$6i- zEO36_vkcD*L@iv|R~v4kB# zNEPWq&IyU_6uwtVnnvfF({yqXQU8(Xyp=NJ87fVbXHQfFl|$)$CEKk>b<78DsDZS2=x|V6RB32H`I;pDX;N*>`zBZ7b293K zYU0a@ajP`<;f*>Vgs`cL|{tm zBsmB7K;avfL;MsAN3fI9U6{B69q!h9PRekh zPN>7LxLXJJU35yJJv|w3tPJ3T{_}YIvC#ZTi=76e@8?o-#W!ii$T-OSH2IqQ;QB#MzUNMfc=2HiheNTb{c5{NjgWxoz%M5rOLp z{p~Ee3@0#d@PBEgh0Lo4HZ3%&R;+IoRMea`#J~RSBG>inrRF6dx{`-wHJX2_FTmVG z^=;f8Fz{>J9?`JL&9jO$#uT4=rPi*dW8&PLH3lP*m68UqD^ru;fj|P-Bs6*`bLbQ& z?l`IsEEV{(fUom%5ZY&s>zgZRpoZ@_4(2>u(y7o9ltlUJ(Ytx`)!_^Y$lg($DNwRo zfINQbqq&rvC!)GYM8OG-Man~CZUB=RdL}w3WthalpD7;fae=&`1|#8deP$pSXmc?k zxJ}fi2EhV74b2eMaBf#vI7l6R)KN0+(mc$`XCTCl^+w`xR80~N2f!>xf&1XurbG`y zaYl#pBJWOli7UI+5CVF-D3M(c{$kiP+!B3A27s-ZGLGfibCDc#%3BtOiVRX!jz{zG zybX&NZ=^8EJfm`>TfGb!HH~mE)3yQF^bkVt<&+^+n7V_@O89AC3>E%c&2HgfM9*{a zHQverS);?=ru7)dxQu`AvVCh@iR>4d`NQ)HD#2`qo(f&aUT(J@ge5V*XkcMym~5_a zq%IPTUK;HY407CcP(VCBLamC4kWMSP8p)uS#2_}FDgf}1oRPh*5L7(Z0MHx|0eFdn=gYsdXRIB6i;s?K&C;>b|@UViwmD^k~#Lt(!v8cfr zq|#g~xR9L^t~Q~ZP4yspY7L|%_XIKOEygmn^d8#T@MpS+SBb?-pFWMI{@r&T zI(hpxYTJtGq=6Pr-`2vKdndfu`1F+J6xo8wW=$q>;mSoX&Ksom6;HRE_h3JDu58?K z((R6XCe!f551>z&oKc+DAY}WUxVgAU&bf<>AUm%X8Im#m!*o>S#ndN@4Vbs+LtmM( zi!}y6d;`{VMe3}(`K$dn27G4cw9|Bd)8wDsre|Qk_RLM-bALAJddtkau2nNexL<%x+M-O#q%j2RVa|ZOa{l}Z1l-*wz#u0bVf_$ z6BnD z(oPgR9I42~LX^0_a{$iavJWdfBJXsZ(t~a#X;ASM?0{YhuyaMf!v6?+ zpxd~8>~Q@cd>`1 z33`t3=R_GKHee{T#=kPO68fP+hs46tc)EOBI;c$DWtpxDj@xVUD{(G0IM15xa9x6- z3oIe%rm0L5H8!FeQj&i)s*NFS8msmz3`XLVc!t2FbKPa2_cX_%Hr?U%Ft@NXlkDNR z8i_+YR6&Y!ImnZ-^ETn@Yfn+DxW%9aYW%k>ko`O28@W`$J_zgXwaBpBs`E10|F&V0Xu?;5*}&D z1ZX3=FN(DczlMM(d*R>&KjN~9Y3!)j8_gofTMC0318YJ35vG&)8xaIL98X(V`Jl4o zq7}hiPTj<;iqZZ1)sq&gZ6Q(6gsbLc5qA@IdF~v_(l`8p%ie+#;9f5l)mdD8rEh-0 z^HZ;Sk>naZ=S^~khoy@@zVR*!eZn6>>1=o%^!nChqsijHE8q3pEzfxqPwLAio^RlrF8|=M{ z(%lG+L6leRy^-c`m+qH}GWjx=eR%KqC4`pr36l<8y42i22#IIik8iX24$Fooy9vLw zBwQ2gANPP4`>P=9?bzk~K2#!KU1&?ic~=3xwYhrIDi;Ser)W{1#$5Z1=e`VS!RxY{ z@JR6%Pt4cma>=>dF}UH%!6$IX;BL}M7SAwlFDzuE^NadIylg$U=tX8D^fjqp#aqY! zo%AN225G&FUk=N%w32V4X)uJh7e+~2X?b<~5H|@mv~HG`q ziZKQHgiC=!z3|nYMq}`tZx|Hng|zfW6tW2Q!cdn9%0pu~~3=Z5zg`HFz(uK-Yr!ieZXgU!>%d1!wp;qUUz=Xhz97Na`DS zJn-Wrz(;ZGHX8mqmLEuip&>BVXrl5`M|CF>5AVj-IU-Ym+XBJ z&l#`4?CzvfK?Xh&e*nQrmEbPmI!Mgbu&2;JuZ<;8s+I6dPg(ISomHoCGuVb(R~5aw ze_W9XBYk@Bj%z+}<@Ctv?-BK^(l@QjQ>1Z-WL=KvgLv<_P}d6m6TY9&$DS=0nEDQ& zzEy_o6*ZF<-c>S%l^1I)uGL0uA;E;*y9Yx

)`x*9U#NlHoBz!_X?g{FePqHYcUiJAsYF~Dc? zFTgUKZ#?U6?UU4j@|59xd`H0cDf&T3$LUbKT94KbqTwmtEl7}a7QE1e#}#oHT;E>! zRToxeY9=jrn%&)YXC#-|^p26j!_yBXZf^vsY}rIqE3RhoTE zfC@USKRK#dp}u8Nm&mGMKdWjcE#4!h0=>)=bn}iY&6Y9tL=a&eg^|D<_19zh_8P3BM)Wp)oSvVf5e0r0?uniZh(_gsliOi zH>+pt;tL5=c;xFxc@(v{W7QuT;YEbRCj$z8AEXeMLW>gQ@8XYYB)rTur4*`SUl_i> zRh7iXB%-keqG}TVP~S(F^YlsHC0v^7!G_5->st|&(nS~fAZ$$( z$-`z5jDXuN8IkCH7W$0khi6ftr?zW|5W|;4mNyArDgczhJeT?mii1D)01Mv^ye=*p z{=-n1w3x*qC~KRbk{{c8NO7X5kdLEB#In;Yxmv;7^ebcAsxYYmQ@Ro|Zu`V=zcucJ z4wAqidK7-IJp@CdN*XqR@0~zBnw6<4K9evJ*HhsSpLYjg5e4Wi7&Wy)z%T1?&?6DI z4Zc12>j-~}?uBWa4jINcu;sbZ$V#gS_fZ`8YJbycQgi03S>&0}8^vhsHjGCY*98kc zFBS%JAswk?356ZX2m#fF;JvlzTP~MVtfx7l*7#Ymn{wZF-DQ$%xDCtfsQzRjy_F{Y z`NruYNCUkrNF*2i=+=hB*&AQG=(60@rzdB{?Ox*d7H-Za&e5@eK9^pPv(q2ai3xRE z>}wA$%Waw0u=Kh|`#*gB(7BsBlYjD`OB(2>nqupNf1}hy5nRZd*)f0tvK?MY%0%y3 zEP~>PKe4KSZEmi6_h>>f{Fkc@j+Z0DrZQQ5uh{a*&)=-h_()@LtGBqV_a})3G%dhd zLdOS)z9;b+A&vL9`1*`RVxw$WsHX+#{{h_ZiOCMGF6!;j ztrz5ckzd2~)Y?ny2#VTT9$@Z5Rt%nyFkK27*(NvNrr9om`*)_}A{V1*V7%qo{)rv_ zQ`CxHlhC+tPifOX?P!2r2$d{Ez(;b|mu`-l1{8F+xTw;^uafc57i-bCLbjAf1j2F~ z9MGb)oS+1PFkP@%@WLm#8r1}ji}9jD@)+bH2_-$cUFy79^m`59FaBc~n+7h3HpK48 zVL)}^Eirnk@UxSz36SaX*s26jGGIcDosSs!M51!Q6?=|=@Szp@ zZ#C~|LqhC-E~=sN>6IMi;pc<+(8D$J%JVTttp?2BR10aLykNOw4h-NDTt7(yb+UkO z!0f8g4PLq(#-IYF1TBMOS(ujQq2b;PqJe}bEz=Wt zr3NslaUH-gF(4{Wx!XX9vi4L`!KV`N&9v8C)#3V&afl>Oq9~H%=^R|_i8&P5pl8Mf zQ&sf0o;Dj)9rOQuz9!Pb5`mmcJ5k2-8{#|lOs8(okJa%ju|2*Ae$!xxzg`-M!mX<* zv!(yIPwE?%PoLlVdioxJ;YIyX+~nj=kI~ifhIweFiAWYx!fFa|d*KUD&P<5*(^tQL zLweJP?(W_7%rPww?=3cU&bhApA7i4>si$NpL!FbT(2E{a0lhqDX>hUg%P1WA3xiu5 z&f83rI$tLGtO`j*3smYcN_)~RusRPk-N_}ob$e%ji*v$^Nhd!mo@l@G{TWZE&bzHh zGrkfj?2g^KrqfKwbmBU?2G0R4Am^$pFJ9P_I!@?$MB6KhF)rj=e`%$KB2^a@lGEiR z%`Jk2s!uY0-Dr+_NV>G9i5~TXV@PQ*(j4qOijmAkOf%}+M$S`02iWXNreE`LQB>Q1eO)=vznxYur|JGKt6(!yRh_==Nb=VKU>jcDEUrMD0O@ z0M`HW89nJPfx|>dP*YDz;$BoR9lYsnkWtVw!}f=E5EyYayG-Czllo#IX$A{JFVe^2hmXR4{8bSa*YLG8 z>On#A8g(x$4=R3|wbfC*5_e?8ut}q01CFlu2EZfudHpBDVv5lhZ5#GhP`) zvnj(8E4c9|`8O-30=}2WcnZM)ci_b!@mJ3d1E{j`DdFR{#r?JETc%`jEfi^rJmb{; z5|gj^k<8;JZ^@O@nMt#^%m}>WXu(VU)9*;Hnb`TiroI66!sqXLzCo*KpWz3I`X8rJ~OrP`paRD8@`zSYc*hSAq&rfzF;oQkr@}gBzplD!fG8YI;nb zjtxCbziV{rn>2d%P}(JBnwwvb%^xpnH7dbBy2a4UF49=I2|sJgzy6R(G^!~RNU}}9I&E^ajpi~CGaAHl>GC+wYZ>hj?kMGrMO5R^R~zMeY?m>Ep`6kr zV-p#_`Q~?PCoPnfxsS~wU)+zf0s|;i8;b<4tHvKD9)|+yWqj8ha*(QbT!k9|(f$Sg z^GqdKNKzs0GPG^*%}=)u;?RJGaL9|-jXSt|pp!Wg$s!o>-Ui%&12MI^)TY=;7lsmJ zx5QtX9TmXk1)vXQP%5+$4b@y6Qg*2xWEO^UDVfNaFo5!4P(5g<-PcHZ{~nb4=M>!o zO|fAbc=a1V&FP(R{yeWe=&x=0Dpb(^JP4Ve&Kd2Z?wh-r z)_vgtFa99vyJx*aTRguzd;~a5XS~+x^J~|)-k)G;dC>&n4e7Lw=7AQuz{0sL(4T^| zhQ9%=zwmy-Gr{70-h|<85bZXtA+5DI(L1i++ywY$r@8B|9v3z8#ei)wGXw+Df>x_GY+AdUtxw4>N)dwX-KO%IoweSg6+>AWK=*}2sIFY zXr}l`^No7N6<+g$FLcy@C6kRmc%L4|l6MO-ie8fK-DZfJFM>Yd19;H+vjdvY{%$}< zRfMMx`yG=*&pev6Bg0j=R1jAOew0zDm;tgUJWOjojOUN@K5OpP4HxKvy-@Re$&Wy_ zP)rfD^w-$x4nrsKadX$-j!tveAJzZVTnv~D(F=Y`r|tMHUG`nvUbxkytnq<&eiCs5 zoA&`r>%D4ul4I6yO&lbE~Y&Y z^%oxivA~#)0TC!Y;MdQ+m{x2Rv<2#g5AtYOJ=lJ)?ORoC0%7r9McV@;uPQ=wljx(2 z7R|gc;d=q~&LDaz4=-p7LXq#fxkRVF1ZM$?e1S9k5<u<|ebJri$Z#NeM zuC!?4&$m;uVH@3ol!u>01Z4JWqL0sq1NOn;4FuIe+wjUYM6==kQU&S!?^u+}8v+J! z@sy;k=yVGnr0C@t+FJpBP8jFnXce|`X4*>JiFa3_|B9=}|t;c}@x zCcgWu^T}^*4{q8s%b7Xh*}BzRBC*K44I!OaCXEYPG&<6;g3`PI9f36Gg*Nv|Ns$wO zHR4}A*PC;yKX0*q9l*^cOgTj^U_1Ix+!RM3H#Fjg%ZkD1<^l7~xI#3uD}xo+{S}lz z;K`tjX;IDN5d$1{$qCz+X8OWnvl85hG9KEP^a$yr2kth3)0-k3^fzxeKls5*= zLZ{0%0Nk|Eh8Zwh%C4L$qDs!x9`BYD3X9X|acYIKcNHC9nqGZru>v7MzwTW8NcS#i z4CY3aw+%rf0Lrk6F6z&)U?{*XuY>r|Wl+4e$^_aX2Qxup2O&cM=9b#Lw2~~LW8-l7Gai$0<=FbtI103)nQ5|-TtBJyZlm3*sdfAq5IOAGbJ z+`;z*iWc#28pXYLAo3Gb4p-4;8MT1dmPEdUu$`g+%S9)>eDa8Ic9Nt~D3&Ri2#1<| zn<3*>0)(~AjI;cEO8^S6#yAe!@cdk2s&1p~=SZh?24zY`^r)tgJidTgQjmY+hj^Ti zh~ETb^2ng_kr!?)&8cHT$A^CB<{C)L_66vE;;hsZPZ6IYjTt!5I7g2yz}Sa!eSC^h z2Dnujfdf6D0;di}`Q`?O9+L!;4ewhpo`-4Rr^OOaJ=u#0{V|d2@9b-bmv%|p+0Gz(aysP4TvIZj|7fe|(8Er81D#dd$fEh6Y<>}pe?N{-T(k4}kCQFXcF2x$l z*D+*=h`K6I+{H^DJ`_H(1*4L7U2U;878z&CtD@_;jw+J?_263xvH}ecUc;wCR4L5%wGwt%wuBH&1dN0R zD$_F3@=d)^+zv}JVk$ThU5@xv&-I%nHIWty*p7Q;*Nb!Gk7X9<{#0GAkoy7Iv{9wNQJ|n_*Hub3Dj-XNqRa> zJsX0Z30#DD_pG{OcJ)sru_{e)xi7l+OQ44iY7{9hWIzJ^*C^pF$aW*+BqZ*8?fmSW#(BKv%Iyac1=S6% zK^emOmhmHXOgp}o(#oKv2`mNsSPg|*xrbB^ekitY#u+D%ZwndJ9-pSt;FJMgb#Z73 zM|__olEhtJyo=>PeLT`_7I9DWjUtLz8K4hea`zvikkC5=e?{cJ#J3DmK3y3hEJu{f zAxjIJF%@DOO?5FLE8|uLi>7KQE!B=zZ_g!SO5!V2m+OwM9C$hcLl8s{vxnD`09N9# zvljXdbAUmykL{WosMe_--Y#fdbOtt=y>_2u@hZ0-;YSXx3bWxBQ38j0;*R8V7I<<+ zhVZ9Lp`&a?-J`JTIe+xy>Pt%$O!=Z;h-{_h_?6Dto4-kC>z$KuN^p%RPbX6}s3Mk> z>G2HY-An3H8StSCcf5421kXvx`drEkr&>S)2#xrDF{u7?I@Z{Dx4 z(6zmgQEKA%jsY17BF~RF@$TMsC}f(JEisLED;nfO4e4_)aO!)Qn!yvYl#TQS)y2T|b=OqXFd_Fuwru{Y!SLqhzLb13u>=tm|^>ur5odrSMuasnaw zIIW9*Q448xD$Qf z-U&r<^0x!JjsE1mRD;>rA(63wA3BfmvOKkYH?o1}EnGKn1G)bY#3Gy0(2gt9`xE%8 zT*OAHcL>g*Bm;lx|cUgZmIuXe1@!;^+*xu23m3&|HNA{6f>RHDA((l$qg*c)B#u z5!#iOBW0yWh&k47=S+xBcd^Wr^(41(F-AiU{du4Z`c{f^7!Sq@3!|TdcdF~v=r~n! zUssdeF)9Iw>IFoa2SFHIWy}vOgA!!m2w8+GyWmkT^zfM9lXQvZ9LQHV*fiUygFf`A zF%t$I6z@e}!kN}8Xpc%SWLrRYB1cI^+pv_zezqLrV+$1)r5|e-OW?*d=1Hmm9)8g* z>bRVyQOJs5i!2}ACJzvP#9p76S(CoSxqW<@;L z%W8frXu$gNvB!|CpkTz|9pnDq`_Ub?$2Y%UJ!zr2jX<)9%_DBS+v0fx`K&Wsi#ou??z zpJS2|6K3~m+<1q0l^NLt4{pj*5Q_8m&IdCzolH& zm-w#9VRIoN@%@GjFL9{3+t8peBAbmq;V`Qger;&NEx3Ae?>4>kx1K-Wh*nHk3u*1< zc;%E!aSzSLRfY5_t}EpG33qA48Le%&30Ev`81N>(oX^JpbU?p`3klVA`(;$$mz}fu zX^vZM*n3g+`quts^S!s8^CRvrXl2oih8}$v!^kKYrO1a{1b18Xj-^3J;#1vIbVp_+ zLef4oEUZ4^gl|4h*_AtKFXCRTOv<)c3+GFf8I2_IPAmT)vPnTMiAW>My^S^rLn!Qi@n5`)|W9{rfeLDy9F*&nYs$ zNE3=-df%-~*Zl0pdP$6&uNY_D(n5I{nb=RUf+b)R7X$J`!v)qS?9|DbYpCwmMf&+I zM63SUP`Pu8bn0brnrX~<9ljA3c3Yo`T0SeoDhT?49ZM*3R)%7$$r*kCHxT}6Z2I+= z=P0^w%~$H4RjSad@Cw(^4DmixgGIB5X+%VK-TEtanz`_$J5yd#J-ev2^z#Yls z$PzeUtS{H%_WlFrbcmiAIhs&OaQ^K<>>rGU!rQ`uk~C8R8%`9bNgN%D3Tz!2NdL&< zy?v}@#~xjMt94a?ezHP`rN%SyU|AVGU|m2fd5;57_y-KywPDj%BP#?B-w#IQCRscd zw6(&WTj+UISYP!RVitA)f8MD}7$p@<<86dPjD z`opFAD{#r0RlhMI2Qv`&ZJ|XIP2o)Fpx|m7q^wmk!<1cJ@-X%ov?A>Ak?+)e-qMF( zs8OBE4=#m2iNBBnw;f1c{%MGmecRX=7eo;|{7QDPbdcYn!;v)BgQp%_TjRcU z@T}iC1QM{U(T3JhEEaw=npcht92$|D1=uHzVA=QW0CC?IT!eC}>fltiNFxjWkg~(t zj;@GVBChZ}Vr2N1qflDz`f`1^@FeTamoOJZ?jHi9*h5?Je0o18ZttrRk^0^L;+7HB`Cs?Ginr8*+xisM1oNi zz{?<{b&kX@2SLkG$(eS8XFY|qHWP27xIrCN(OWISC^I)IWvePVDscSHf4TB#>s$PZ z+#RXd7!)CDbU6A8Pb;p5F#>wTc^EFu`8t`gF}M>;47!p76dMNzIVyyfm2eFv$PRRhH@ENk1W50d-u)_eQE9(8>S(hBtn zOVX-D$1rGuui@h#_y2>mHr4jknK7I_H1zgjC0%{K5+8#Z%{`Ci)^-pYlj*yI-gcht zTSwC{5-nCv<*cNAaESETuu)^_!HNIh4)O8Exq)}H8qogRFME4LTT;9X#brD}T(pjr z646o#yIA8r*E*z=NP!r?KMfMtm|;a81IkJgCMl>F24+h&6KINBT&tF=`9wIAj&*`q zc+e;0HDekS8n!5uFO?cq3>TgjO(zN4G?Xgf_l`LuTb)tF>|GT&^|^OnS_i6#GM=SX zTA`A}4?}%;OEwG$$OcT0!oa<%W>bd#mPR-yW5_&~0VSY&2CoEO{SkQ#DyCQB-fa36 z6Ki*nrOcaf3qvM8+#(cX@f$x zme%l)hyi6S5No)+5N)=;C1N8=c!i;*lo>@(V<=H@o+aG|UqFX4R!IP*xCctv)lfBU zXY#NZV~K4_<1}Z=cVAlOqM9-Fu=8lF(${bt+{j4dKaEXg-EhUi4QvK(;gq&({LO_E zGf6=h3U2%vv>WcxpqQ8tbu^&Mjwyx>Exo>sP#Z&8e-KOSs=hf`|L#lcAhj|);`=o` z%npgz!4VD*Or7?N za((YnD=k~#Ixg}W@-!^&Tdr-npMn!_ybKXg1vhsl{J@k=CYG)t4u`>hSt8bk*sS@f zj^#NjWnnY?l*F%wk#aUnIV2{;WMUj1_aL5YiAPdaCS_&D1f*<0tt?oh&HqyIm4og8Zlh8qb|~YNW<8;a*BT65YY;=6*3Etv5KkK7LE_$8 zf->=DRq}#8QjGSKM){YCC1+8B5#n+&N44W=uo0lSxdRmb zKo}D9Zp+;Dz(HsMSgQbBp13fA%2R+Siar7q7#qF#6T=r{2+8lu? zverI4lM8BYo>ODv{p9SUR$2;7>_B6nxu{{c`ba-=1eAm$=4vFC&-qdX{vM0>y=r|#v9^e!|h z<6;!}0)({=-_$6o5}C0PFRaab$78cO5*$qoZLAD_w(MkwY1puPnan~-7L1J$kLFl- z7l#4?S})TX=rX2BQNt-21!f5h#y%lrOO6V=-ViG*pOJzbn7AV5{s``JQ5b5~{vi)8 zt$p7*K(_lAWW*;nhW-OKD3Y;u$qcN+j)*1C@=t{e5moksBX1Eq1(pLsG*T%07)M}H zlQNH`7EZ91D1>-u<*og`O5YM%sPsNWER9E*lVV@RTGd4Ddb0M?I>a)T$#mIe9AO^_ z2bapQ@K9pJGbDEPh! z=KfTdZ#ep-HKvpyPsbF##75L?G|UVUNph5TiKin&lnG2*5=U7(G)pNTm{`b4;t;F! ztspsk1jWU-@MY!Eek6sO-fm*E3E4f8=ey<_BY-hz6^r%uz4j0?>WGW3@}j6r|C=xT z?)ui@j06`r% zXJNB4|Lf*PEqv%Q#7m-7w>@ZyXiGeQBSjF0zfs*5SyY()Wg$}&DEg*qO5=R#)_bTf zNGBrZKKLA6H3(usu{HfPD}u+3mz(@b#aL8F|GfRImdNG|ISLQ!JxYShJk(E%QH(_~ zLws09olaxk;tPbwMtf7m~L;(njiowTNFe4w_2C*)=3$E}!1w?V%K@Myx0xT|&*7Sn*Or;y}vy z;d@s28AP$C1WO?_C2;j|ALYsAlob@~bBHM|F>~Fgb`mn2{*hNHWu)y>4hwI|U&h;w1K`Zf^bEjfDH>{y#p4$ARDL`yXi?9>?BI z>i?Idr7WZDc(@j13#k`6jMr`#SXeh-^^EhHsRtSjhJ zl+{(d`}6G=tA`p|*<)-u|8IMD7b01HkYT*Kdv=^%+;t)$j_kS~!-8Jv5Z7ENY9)#o zP#Ew+Hj4DcppjjSAP5q4YZF1iRaP(j03i!8l1M-VAs}ANO<*s)82rczL0IA!2q7Es zLP1$ieVp#9(^aR=+g(-9|MWZ!Gt*V|)~UDN-&9X`O-;|O#iz@4?e+_MPfuo#wCQse zlhMukOPmMur%WEy|F!j~{(j(#$KmWzdiUtn=6=_|-kctE*6M!!KY!gFjZVM**`rU4 zzM+22(`h}`=+5*%1FPRorbix)zIk$UbW+vt%-`x;nO%Bk`fprL>%Wq&FKsTH{o35$ z$;!F?PBhnTr$6&x5Pxp1`P!qoCyR?F$aIX!FXZTkQItbMCLB2B-vx^cJu zBIl{;^A*#Rx5o4A&B^T7YE;cnw|^dw>iqOIjvXc2*SX~B8^5|ct+S=pcIkWRx?FSK zq+WD7&C*-7czo1n&3?DfUJ7+~c^zK5?rhex%f9ik`rYMA>+eHU559N}=`1$8v_5ZA zpZehJoU@;fXSX)}+wE$ymTCFOXV>caPIKLM{Ig%(omTtUmpeWEO@8rh+?;jXdUz~; z%OA}U>!%+Uui%@WtbeSACr4F%_QkhqG@d4_s(#h*?2$4%*(y@MB%_X8ymE6+ed)M) ze_y{oQ`mPw-q-!S9>KALzo%cAY&fnkq-iGk{LmZE{ z9&cxXU)bSS$2%)^`2*Eovu=1BZ#aML+3UmgXMOP7i=Qg(Gx!}2()t{Hhew7E^;1t@ zV=ex{uw&J)eYt3@yYsty@?)<|T6g^Z=ww`f_p}@B^QW(fKbm}GIX(aI`1~8we|OM+ zJGrs;gXi6)=Zj}onO(R3M`M%m@(~tCepsLP;QahI?%W*Lr#3wyaUP7{dwKEIaiPBr zo6j1KMw8ZE>)7J79iCnHc={h+Uwk_~t}dRrc!|x@1@r5UkE_+&oivf7rH{Jx4NcEq z`+QWBztpeQ?|!oA$5wNvs^jLp^IyAugH6t3dwuUvx3jntFFiR3t#I;cHQze9a^Vgh z0gHcwymHw6ocPdpUMH=^zXPeKn!n^M+3W|B@TTnPrVDm+{y)9zI%%DMylh_P+kd6m zYq|T!*C*F~BC|eusdHZ~^wpo2x-(b0Qr91YS32+xul&qkT&Ks@4u>i7P(G_$B+G_U zYHb*%$g|Zdl4V1wMr-v;^bKLpW*4~q(vM%$&oUk?4colXZ~C9$^?6&qZvFDYa^8Oa zFR!Q8%2O20C+BaCKGJ9T6`#fT0P1tS{bzpbY;7B(_{*Em35zdk!FmC;hVc75ueDBZ`kR%dzv^#YV|OS2^Ixy)XBp#;kvjhN>!@m7Z`?Y)^4VtV zvVEWY>o>2f)@7F)x2{;VPLo={T<-USZ>_YOlkM$ybJXck_IKp-j(_~`LwRhiJZZH2 zBEa{Z-!pS|ku!uj(f&#!xa9E(FoZsA1_4$()r}JkoR-W!VkDt9af7RdF z$;CVKmlw{jyL9CF$G_87dhKfwTbUi6tUmqELwRgXmhbk4KRl-YB=tJKeeMfG(V`V5 zX@T)opP;;Wga7wQwJxqwpYz<>bKx_;{E}L02@dha?%EsfI`eDS_3w_m?sT8amOjJi z6F*Gm!$0X`>o802;#PcCcNZ%j>KJMrYUy3vj#|4|@leNTw66Bjg+sM{#Bb;NzMgOn zyY!sL{{FGf{*K)K-TC>K{hYA9Nx7$+kA&qm+*PyveYv~8Be(DSmwx+NKem=W5{}z< zeP^flcjR`(pZnOg)@t9~fuDYKJ`xTbYF1yZAt|b*){qt$Qdb{aY7J?DA$9e!rPh!Z z7*f~fu~p<>Y!7M3Lv*cPeaq)E4l(p@Zlif@?dE76WxorkwcmYrdvvvSyXSt7rq+J< z-R;rU+U=hEJ(^nk-FLS~S8KO>?)PYF?RVeZ9=*}>^@O|KbuUM|?yo1@%V^v|o5vQ{ zz+3LWfLi^x;*)Ce2K^hT)qg8KsTOb0zkyo)x8jp(@do`HsMUWfKB*RO(7%CN{kP(i zYVijB8>rQPD?Zt1`FcX$Vrv6mPq=lWk^;?RtE5hL*|dOKo0ci@e6>nc+0;p`P0N&c zzFH-!Z0e-ere#VzU#${VHg!^K(=sKV-)Q-I!V+~_ol1N?Ve`Kex2mo`xf*W%GmO*u z|3k9oDi7A2w>ay&H(yj=Qr}Q>^wr$#|MEIne2>D7x4U|s%bt4hn*Wk@vE9~hTvv6B ze3*|-fA#U=v>xji<-$d);bybf?_CJa&sh0St6Q1XL$xj(ZM<~$pE5L;Zo1v}(Jp!V z_|@XHE_qL;SKIpVSo(g27j9;9;hfePU4Kup^_E-5y4=an{N{Diy4(iCxmNQz;cyy1 z%1zZ8lD=AM4QYWPb@j2O){qt$Qdb{aY7J?DA$4sYTU}qL59$6~>#n|@a8SI>V{1^Q zAK9{M4T)YYwT867kh=QVQfo*H45_P+EwzTUz>vB&kFDnQCqwG~$aO98^@K$r%w8o} zM3dzb|MI=Qie`C_vfpn$5|-Wa@a@X{li^d(_}E(ad!br)CVt*~-m4al(7S*i342df zKv1m$5PDZotM^m|1l1}4p?3wfdQVkAP^|(GdRI`Z_f!Q0)hYm?cZEjF*Aw=hj0^gD zLT>v@+;a2Sy2Mqz%E1><>)?}L;Re;Z!gvS2s#*u1{0cXy))mG(_*K<9_~ci(LA9|7TW*uK)&&d_8yRWN0_4}o3?dzTo@wm;OTjh42Z2Q)K9e;f=Dfez&s@Z>k z)yLMQhC{AY{$mT7rO+Eyt5BG=wbWWWOQC0~RVd8bT57GGrO-3gDimgIEw$FpQs|ku z)=@PYO@H{-V}U=pKmY2u;|YNtM=^4c1qygQ;iSH!uN~yh4*y2J?-lx)r)u~k9_$|c zQ3=lz9x2uj{Bs|sA6xx;nwlP&*6_9$A9l%K<+`J-&AM*6?`41YE!XSo zt*Y-GUz5Ai$CuMJ2i*BtKlmkD>$&7UMd9SY!^l4I6R_5fpZp(RVfL$B_X;-~T=fs1 zhP8sOMw`zGU1A6es5JzorlVTT5<~b^tsy8i9o1@<7{ae=4MC~ts8+MY5Plt=)=@Qt zUH8-RH~wb+)$xv>QrJ(~NByV;yq+*7c!XcX@wXrMnWy;o3wDL!{kerrRlp6aRRBWo z3TpM9s(_$c1t9dUpjPjx3J9uI07CByYW1F~fMBC_rPuoua6cZ23TLk;GX^%y%uqC#I3(nzxKgWp3A7VmaPNFi_p68^t|zTWHhNyufE;Bbvi#|3N#u$ zxK-oU|3%7r-H8uO9|zO7*@I&K?)2xW*3sx__Q-2p zbRMc7LF469&7-Nl^6apa)eB2J^|L=iYaP`q-5R~!Z=7j(v@zU$Y3mlEaO=9%$rrv@ zg4XO0oIVrGCmwHzu3kFXkXoF*8t%@Pa_RBMXs!7YuP1|ahnq_$eGb`#`(*jdi$7h0 z*0}u#QTH$%e>Bxs?7p;h{uz5ASq*!8FZ1wGv)BF?;vf>CZ=# z`}NP0&ab_uxo00tf8O2O{DbT6j(6cmzw?Cxw4PY5_1-P_`Q6Lm3s1q!9xKOHbN*yG zeBu3S$B(Sz>cUOz_KeS$@YV6sC-2Rt=)Lac(dfcFOYoDe$5^`Et=S?1Z{925u@x=R zJZ#oqsd=(ve(4sDcklSIwZ760&wb&~3()$eD!51A-xYrRd%7-Ny_GwUe`|Gj^{moQ zos{r>p7!%we6nTxzP!$KO%FW9xSETl_{>_{M8pm$ueD{`I@7A2?f= zmv{0L&lRB6{YO!2wB8TIN$ZO3lgFc#PcPdSmw5W`7vD{i{E+aAqpzF1bv91d-xM8H zqkE$#CvP|5htqd{v^iD(`f&8*D{nQY-)P=xe!V$v-mAYRt49A*-|pK_o}X3xd)51Y zgnw*JMvpHI)%idh*G~W+w?2F=KiKLkpFPn&UcO*{1CyoOnSS|vqy90Zw;R7Q*CHQV z^>YqK@}U$8A-dtV^nI~K~I(rv;6+j+2`-RHo7r+{rqJ4?^WORe*Pojo@P3oA9qL(o^4C~ z-k)7tt!;OGfR{I~P%EN()!8DwbkcdWf)JnGXg;vWgC z@xA^FbceeyZQV)~Ze15T`NXGbtsCDqe*CeHPxDrW=?-^ax^kfU3xVWzTi2yd{?~h8 zty`lXKE8dgeuA~nTX(qo($?{#aO=9*$&bD;*8;yfo;*teR-?r&l zcw4ZT%d3AV;qSMKnWOX~MSTWe`dD~BwQz!^cK3-VZn|Ro$98PJ^s=i(|EA+l%B(x( zbth#`I$4}~#YeY(SiJL+%eQX1;=>nTv*qe5c8E<^?AU(Ajtj5edc}udf8vP)+qMZ^ z@hY+7lB+J+@sUd|M(!C~w_PIMwe{+4m%LeQ+{#4Qb##3H*(blVvKk>xDOFpu73#y&@-jfE-f8DzEVNRjSrV~#b zzHrCY;vLsqe$gd6LdkR9vu^!)?^wTn_>4`bo_JQi+UvGoxaC6^UOG$Rrq`W!hL$_= z#EmGsbjKCfeE8zw9amhsQ8-z?5O;|w5j*(F;(^v-K8f3wK!e8U-UT6f}! z??(4FU2!o~Wp-u|{M>V{xbR}sI{(6}QE<}h-;l|?edxDQ{rpW@1GK$^;k|r2;JGT$ z{nig}+3~UMj9`%Q&P%pjvt#Sk9|Kl?1)Xup+jVQ&oU`9GaOS{O=U;N^HQO%San`v( zy)y^SMME%XU1r@nm=qjf?Ax!|cJD%dE=W;Ipxi7ST8{TDXlXLhA%vnCJyeAo<0+uN50?+q`!|iXLsV? zTaNtTv0Np6P_xn8=-L6esGRZb498mG=u2kqy4ev&ePfeN!0*-nAe35{8huw zgbm2nksoSUw&q=zjgVaAzSRg_Gh?fsSTt!`2))5}q(@C7hr+<{7r;z>)BTVJqPT z%`wlgH3yD_Ck$H&Cuoj&hOIepBs>wa)qs2O2}gbj<~8#BttG&b^eW-lO1hoKK1RT> zRZrJ}Vau>pPYBeLt!8W)w(1Fida~7wEyGqlAy7}YhGVM%zj#+qG?Ztnx2gYtv0m`TeZP`X|0BA z8Gpj1;aAmK#-FgNa4)y9aBMACkEve`1Poi%Ksl;53|mnlSq(jgt!khgRU3w_sF19N z9>Z2OP>!k%!&X#CRzr_rs~RXr)rMg!DkQ6+$FNlml%s0HuoV@O)zD+uss_qYwGpyq z{0XB%G)6tfpD;#nqgNe{t>`ryjnN}u*oq$0_%s@};=?w2&4#V$F^x~7VJkjtqt|TM ziXPMWG#a+z!!~-&hOOu^jZb69mhmTy597!*8-K#cpsa?XaBNkhEz`zw5io2m7r|=Q zH*8fC?d7&**jg@v)vRyWswUdYZOgE=Tm-9G->_9pw3pkKVQaYvRwu(!iQ(8PVQXo))uxtVt2VeVtyRO;(r~LyEyGrAa9>)hhOMRHR-0Oe zt=izev{nsUOT(=;wG3Oe!F_41hHM#s!lmI?)mp}%u&Qt`x3O?+Emx1JUkwBdTh%~0 zsx}N;Q6X6kJ%+7npd3{jhOMZOtcD)LRy9zLstvUZj0({h z^%#G`7{QHRbvU-7*K9OKkAPt-dQ9WfXxNGm+vqhLwxY*0K8=R0_^^#$vtcWGOykpN z*oqI^=rtR*qQ^8ojfSoGu#H}`VJmt}7( zqf!wXvn$Sx@42LWw&vDsj8y1Rt`_Xha!MCexXjF(cOKeoEfc;fSFh;4Rb|_%(cE%I zPwBdGSZ~Hw9H_>n^H3jKap4+|Uc**AxW=Q^uoVxk@n|({#e-`+S`AzA;2Mus!&W@F z#-r7+6%VfQXftNHe4q;STqEF4>Lw`252fq-Ev z3KXlQ!?0B?q@!rZuoVT0)zV?usut2wv}4$c0>x_SFlf7MSk+$AuvL2w%D2Fa_lf{m4i}J zWDHwLVWJ#6Lbi-QVL52kSjPAh)|i0Gnh(cTWwnfSZ4fYQ)du&awQAT}8g8|zW!S0> z?n`Ucu(dSYYE#RwRU6!w)~aD^X}HyF-> z<*3>)Y(<4+HS`#^s)2G;Z5XzqLb4iq3|rMeIjS~7wv0bvREWl?$M_S*2yXPM!?6{; zW}`8B1PoizV;Y}E!&ZFQMz7hh6+Nc$X*6ubhi&wl4O`J;8lOhPR(#k-ui3B_J*M$# z4B0aNgz;eYP4nASS|vFt>q$E&H9F|YNEZ|whUX#MX;Ln4O`Vj zd%0~Hww8-vHR~I;s)_b;+cIn|7r|=QH*8fC?d7&**jg@v)vRyWswUdYZOgE=Tm-9G zKV-}J6IK)TCAMY!36}_5ZK{Q1t2V8hRx3lmuvHmewUst()fWHCS~qM}hF5K+4O_Lv zzp~a1Tb1EeTWP~qZSk+Hb;DL=c-2-qWXt#y)|Q8|S~vcLWxS*^#U5ivDFh5# zrO-)QnPDqwjFhs+uvH43q?H-AlEz3WdkkBp&`DaEVJm5jl(NULRSKP?l^M2@#z-l9 z3|pnpNm`j)lUKVcn^ zR4_3dTZNp4iK&L^NxmaTerQIAd`9C$RO56d>`4Q&6?UhHV{i;R~nct751@l z&J8>h8nzneGOf#=H1JGVmwc2qx`EkJrF&kFrRKg>PpmaCTlGXknYK3YOjsrY^&}Ru zW&8>2fHmV!SO+8(Obo|XA*W$ts$qJP???l$GGxA!4HNms+Z*6q z8+b3HQYh*`-mp~%B;`#sY~`V-gNR|P4oJ$IXxPd_Q3nyjRvnO(H_@<_hoTN5hOIgv zDQ}`-D-T5-L=0PXKvLdB!&V-OI*1sy>VTxYiH5B_6m<|WY}Eltc@qs=c_`{2V%Vw! zlJX`Rw(?NaLBz0C2PEZ9G;HOesDp@Ms}4xYn`qd|Ls18jhG9$90ocnn@y?$%>~Bn# zjZN|tG|bpa9<(u7WY~%U)Yw!Swqk=e2E~T07(k6prC}>JXk$=p*opzv*i;&}VuLmY z#fGgIK#fhMVJkLhV^D0^iUHKvR2sHogEj`mhOHPtjZLLtD>i6jP;A(W0o2%38n$AC zHU`Cptr$R!O{HNgHfUo|9I|D;s~ZEjQL8lH)r}g_SoMWtE7nGg@`w;HY()fQRn!=^ zsseLFjTp8f0tsaeC;l3=S*CBs&YfL~IxhOH&RR-;OW ztr`Kpq-G6UOM$HbD0fMF}S+!R}8*eb>(xjBZd zVoZ{oW7tYAH^r72wu&)HZjNCqx!e?6X4opmB)K_; zt>ki3Y+1;b@h2?CCUH5&pD=NhlrktBTcu=7SyB)%Y$b(>a_lf{m4i}JWDHwLVWJ#6 z3|r-(loT1mR#KQK#}30*IVdGX#;}zXCd#oRWXt#ymV;J}WsE;zjR~l%`EYDiR?A4& z1_8rXZE#;&tA?$m;Z~bkhOOG*zO+^iTT8>OHnj{}wZVO9ts1tLhFfiF8MbPJ`_fu9 zY%LA9+SD>^)du&awQAT}8g8|zW!S0>?n`SmWXt#yE)Bn`)-wKtRfT)GjfG=txq3|f zY9L_Pss_qYwPDzb3dw5dF>F-><*3>)Y(<4+HS`#^s)2G;Z5XzqLb4iq3|rMeIjS~7 zwv0bvREWl?$M_S*2yXPM!?C5JS8X&#j=<>;&*%`jVxuqy1j4ZugKDEPas)!Q%qk;t zXk$=#`G`Lg8n$8pH*%FBTjp(Kf;J#yh zwt8dGZB#~$z|O~gwkD%iZ1lx|z?%nrwqj6iR7Q?K_`DUlVxuqy1VXmV3O)vKqgHAB z38O|dR(;{vinS4=JR$@PTM+?S6*Y#fs=ypkBOzNNB7H_poCx&)qj}zn6W?fchhxjU zF^Lw}c(j=}Ch_1JkJj*wNj%z()@TthGhwuN#;VQu6UGW})XKxL6}4WYFAf9@TXCQo zmrlc0T*$_ux4@Q)L%q=%DFXj>hrgF$o{l4hH6~@@({W7djoL^ND9nT+QoTl590>ey zi`mtU1J`(ThGR>_quywZ6an+bBvLqIRu+yeJLYzb`X~_i#Kq>m6$Osf(GiX<<4;%} zyqDFA@h4mseATWTj;(69YuZ~10*0-npjN9ohOJs5zm#@Ew!~5@n>tlSz&ssS8SrH_ z7mh9S#$;JAR;hCM#>6~tRSEEAHDmkI=1uP z1BbxaD)|vBH3wT2Btrt`mCljPCqm(TMqH6U-+lV?1mVKcU>4*HTCG-GSY z(AA(~$X3bCnHtz-np^+@<4?E%D9OzV$5wJJG?o<*Fl+$}uYkwFW3_w)J9V8|6CRwQ z*%Gz2RG-npP*CbJlUO8g1Ci5y9iO8TVCZ(`nZ>qtw@msmWF24{y?V zty}d&R)(e_kS1#kCxw5ULN@b49}CD4g{e93VXZ$_t*8=RGcmQuDGssS6y#SLpIjmd)1Eno@JB zb!`-L(j^n~z;Q-pN%4gW^D30C>nDM+J))&uOJ^$@Mv@By`CyORvZ#;c0=6uV^bMnv zY>8a*gdT(8^r7=OKfdsvX#HS4&IbFxJVsHG_#HOkb5Ie!PLh&+kyHD zqVXK!68{AL5jA5PWMSo!nk|e{7G@F2hj_y~-<4-8-i8vVoiTTLK&UyUNghm?0o}pb z*^VZSg~3*#Kys9Y3t6$oKL7ibv(+JDHK|(43n;7EO&0N|3oRO%EfmH*=Dd30$g>t> zYu?iiOp@9xOX*p9X(m?fmrk?H!wV^eB*@WAIM0@ZEZl}@75;gtob@!9_P37+O6Q1Z z3jZLyDo1n_1{#DvA&Ay+CedvN_}E1jguf5PQ%K>F?Qe7X9P{D+y^FC0I~NWrpl#vO z(z(^W6ieA6QFyi%P&SAR0^4Uz`iYGgWkKLrV7k@Ad3x$|6mkVp2>k_NKO>goZ~ygT zY%SWHBC%*$5(`?%H&{{=o9BWX%nm07raG2F28LgE6J;nRf^4EDj<)*3VlsbkIxD+QkVI;A|twxn!%lEqTDEsKhHVfir^i1qIg=WLM*j$t$0(j4d|PgjyjG`aIRDeba6w2pF~)E$KrR z-jMuM{cyZrYt?oYM30&t$#FCgK@4VeXE}=|Rw{kghhr|cZqShy+F!`6RJc&H z5Q_i}R`$1@m9d2?-AUWeX#V<-hHI+#uMC;A=9Q+_ zhk`kdqy!)H?oDCR&#c1nnX@Zqs|r?C)`VT~>#NVl!Lqx%^4W^n@{0XI2ng%Au$aCcqIL%q2Zjah5_23dK*HN{r-rERlvAfHF ztRvW$W~%q4n;LAZ+R1AS{SBd~G8Q*xHyK zQgU`G-gp-^2wVB3cD#78b{T&Y3%B6>$(jaXYvKNJZs^&xxJ2EQ41A{H*@~Kr`S(W| zbpwm)llxC;0Jij&`TQ-uMf)5S$XDwKX3#7mnIL!NhzepvkLF{Ua39eZ&gc7WhIRAV zu|*yVc6EcN^Vr&nRk5DyY*k?!{usf21nMX4}y=5%0@y}!J)oGtAOi`iMR#?M~g5M%4-m4Q^4_wddtm!AgJ zTrD|2Ia_$H!0eQooro)b_)i;wEo<)G@{6T^D(|*5ukP#yqKm)M z+z4#t@m>Nji?1c+612rz<$t}oA=s*TL0CW)tG&`Avvg@eQ59l;cSJ+51*E2hr~+QK zZQ_n28-}g^+9Fwv)<5^#_0N|7GDV)!erNtIDkV{hZ$M>I*v`m8IKwsI(E*Q*%kb}%N1;lV`SDc0>JpEC6a3n4 z;mc@=O6#K33-Joq!=0))r~@)DP|Cs-3!b^1*l z3iV=8_{OBF3(w5eZ zI=sN@4*ud+O6a!dB|R?91PgL3;e+*KxS02oi@eRNo>$?IUv=oL39E61#M?$V6UN(0 zEw%IT;P2qgd)k_p)OUjX?LaMTa5&QDmCjQ&uQbYJ_gLeOtzh~B#x{1F;M}Q00QtP6 zCX*M&(w7R?$a9i4XY1H6G%i~{?u~S8{F%_O)kp}`Q(w&3GHlfo0u|e8S6m~q3OD?x zS!GlTrmVC=Wb#Kf@CrUMkP@TF+_w@#MHz-1>TE4^6Po9F5u*4gBJ*|%t;w*k$kDA( z5Mk`e;IDj!&+ZYbt`AkR*vxsJ7oh;}h0OovjR*?^$HGD~j+prMFPc?G9Kgn-^H84& z<3Tkpt%fZ|)=@Yt6!`aBrQHh`suf$_cfV<7!h?Xj$jXkDs?M+#E4&daH*7@=Xsqf&w(<@nv9@NEFAIUn{Rx*x zEB+pF342THhEHILP@*pW268Hcstf#~<{WSR<-#8l&sQIO$8c_Tc5bq&skW_$z?x8b z+NEic@1Y6L|M74!?o4q}C~;X7$wr;gd0K^REwpJ}zEPGfN(l45>EgkWi{%7(IiqbAhp?kiGcWTCi`m99wVy+#$f$f~ePHX1FG- zN81lQn+;p_#JOS1uvJe8)RV1dY#Fxd34waD)r>8}Ry`pw*Vcu6375BhGgtreQaiuw zKOG;k{)F@3TX5@G&J(ItQvBo=vzJjT@GEcE+_x&ntaddFTeZW!@|F!-m19=B8iuXf zVPAR6hONpmt6dGlR_(B_yk)~y<(Sp3hGDCA*jL_i$W|@A4Jx<#5Uo+UKj9$?R5H39 zj;&-^W2`8RfMKgNKFKOIY$c12($*NZO5>BPQo~lV_$Y0SVXHJg$tpE$C5w;J))=-* zpZlvXCv~ zPgsmi;&O~XVd5w$Wl%V_O39eAq#$6}N(vL@*kRZz2c@LQ7`BqaL^*aCw#q>%DKdtw zq%cvA9fqxPP)dr7VJj(2lw(K8mhmSn2dx^*91{M7)~>lIZ=0J$YSy31wbta5_$wLu z&zgj#-T33ki~iZzl7DQrsKF&>Wd7|C_$8~D1I_|}Hh11GX_%Dy&m=GQ*O2EZtxm%J z=R<(45d8qsv69fv6P_ho`@a=*+TR}nZ1v279MNJvX6GOtCM5~3876CgZ-4i;Lx3%Y zydgrkCTxh#G<+uvTlGY-Vau>pPYBeLt!8W)w(1Fida~7wEyGqlAy7}YhGQ#yUau$H z4>?PQ8>V_G%OK?+}L97jyTy`-d?e_EdN)jG1FK& z7OBM%M3IpB;t+gl(pi1^f*>+XMOpJj;!EH2)(Y8*&yW%ML7wh~OwJkzQUh)y~|7S{4gsR+d*{tD`bS@k)y)k88Y{u$3{Zh=7WO z<7K3z_7^|Ucx;vIa9tNRzBj`03c6Xx1GQMXg`SfR%tEmV}3mgX)=snb+3bI%gg3`xX zv<2xnPq#l*FJa?Z{#cdid|GIL9UfAuSGZi{3-a(diD{0ZS)D%-ajFolUF=B-L{wAo7I-KY(XPp+UHrK zB+DPPeg8lF=irs`Ioz>t>bCV-Lfy;uJr0q?4?sE>nd{g6ZH*?U%|+f6f9)j z|05i`a~zK<{BYIs)`a0JpvCM_vkHWcpC$C!8se1lY;3$WiQprhgpTS`E+b1H3kN|x zErOHI(LFjhqZ7=Q!`oQG6PzJ~dg96)|8N0sqe4ae4NwRw$znuZ`cn-wLX(_w8UB>b zCO}p2iZSa*&e(JUlpx=s93iYBuQhNX7dUqdNz@#0R3gJU}U}0ofB^IuXDS=mpjcu!dNW$O5$oh1F?!>({KJrk>{1s6?TU zkIV{=-*v3*ST=RYVE{*X!Dv}3r;A)C4P8ho7WBGZ7KdAZj?bRQM%grsgJNQIa5{z8 zDn;iYSr5aOCjHtnJ*n-7fDE_p(F;o3a(&^aDbBI{O&rdTF5LI>^4MZ~ON5}$b0so< z5@oIa6+KSFibl1p7?LuS26!aGgyfi~`Uf+U!z73M{mHF&WMG^nhCYbHy50MDChX`K zfAB&~LF*>SPIc^3TwVyQG+?)oIXk8)T*z*_7gR_sDrQyS>nR_)I3{({N%!L1D|8~F z!{<7e$yP;nIH{u*DolUyuz$srzM}8*n{zbsX?gL^UARV5ukXqAq13xt;HX0u$7w&> z&V!If)Ve3HZJTzxf3I!RyB?vDk?FC{&rP_ba63ZjxF@?;W!>)2cMp8`2Hbu+e)u+G z9sC*hQXwc!rNKwL8hAVl;pv=q9cf22%XhNe2E`m6m7V6&31>wbCqG!^<<@wpyP`Wg zmPOulr-PrDc`!=(5)mE$Z!P>XzC`vAe<$Cv`z2WuBYLj$EYBwH9J3Mx5k|tZr7W^; zLrCMtxLg zo;`$l0KuZoI;{^%YRk!TC4>=N31-9US|pOgPEpOV1tL7!+xdVM7Lc27eJ?Nk^nl1f*ZYli5e6tX*nF7uB% z>Zcv<5jFW_!LFdP)TL1!{`db>lPwo>7F*uo^y6sbKM8`h#^kM$$h8 zN03DHu%%q(Q4@F?LNW{*D=aZVV3y!JU!vX2>rpX| z84uTbaCzDa;xy4cC?utcF0v2P7js6B9m`ie>EXJUvQ=R&gFJ`PtUiSY8AcX8qY+oR zWJyO2pmkp4>Gch5M2S@7PU4JFhB2-X34_EHAjApedX~d>yZRvxPY0nuB`Kf=hI!hK2xk2)H`;nHe(sOcVSg9ZM`%bkb`pbboUdtq=;O(F+-4IWL5D@1`#(=(AB zz5J8j6CXG`lcRh4tkr@}u{);LTa+5fuC=~1O&j<@2aq2#-PXJpQ_@P6!|G&e&Ca#f z>Z5nP>D$)S>N_&W?7y<@=)2BSEDBwBrWZY*B8y%~to&`ew>Gx3o-cpfr7)XhVu}`(K3-_Nd*gvZ#-s$QsFD zagY3v9o)6V zc$Rh9s41Aed;mQhm|Ff9Bsr@%bh0d(lU$V7BKe->5eyJe4y*8-VLO}CkjY|C-r_vJ z4yx)P**Xp|WmJ!4B@mTOO6Nv-_`*@~vn2w9xl@dx9OsVO)Pu)ZMroX3LEwEKLQ&QR zVErwM^YCEhKcjg{r_){+z)`f$l9(D@8~Y8TT8@wjJ=}(ZZhxf_l;DIMKjb5RGQ}sZ z6b6@>f{@~!3^0S+7#H!_LWc7BciCnoxqyQOqY)IbWr!EZ|uNBv&>Y=vf? zCao#-fJkithHO(8wTEx+nly~bB{VHwS8^sJ-CKbxI;|a z&Exmbs~_pfol4eo`=67;f{r@<%t^dGvL07=tW~UDAFRQ@TbI5X(e*#iH1mC{eS1*C zV?qb%pXMI*|h>0or5^&%K_&|!qu7Tu{A>ICt-Wfk+@9xj>4;COo+lJ ziDAL>2i8*xlRh4CP^`zAxNAO7#66YZFQdj3F;#SKr-$Euk zWLOfA6(&oZMGGkuyDc!ukv8peY;K0uqfwk3HJM?GTyNYKNCyG^vC%V2N?au_bxWPk zy%ahY(cy@{svcWC{w%?_E}JscX^mi&2T;)=shN?$MJYwL;>{xnS(;xkMFTd3iQX?2 zpPDAJ@_3wogGT!}4Wu|I)OTao>NmW0h?&iJ4hN5q}r-b?J@V5#8@ zD#9}*ZyciQUK=S$aA${5DygH5=yRaO)(}y@rRO%wN^6|7XyK3n*1}H)#i5ic2rUrf zQsDzUJks6e-;M?(a&^EpAQ*gsf4lkG@B_{QNn2tR2025wiy#{9>56}>8e21g<&RB| zwExzmnt7e;j840)pU;e}`oi?+t2VeabHH_P&5o>mVOnX~c9+^-964&ki=*_&c*k>J z8E>BrBxh`znHJ8;eikQ#Ai_w=^MBtfI{xA- z<14nie!Dc@arz_UxU$rl*F8&Lc$MqDYTTvt#7O#%>Cu8FtLe*MqXP#-$LSl!S5CPj zN4|eQN>T5{@|rDZDjB=)#j3G&z&E7*xb2#)=9R8D^SImo+&v>r*G#L_jO)E{z)fF~ z9a;5Az}B!!sl6kIJ+xQRhVhOKUm0(@z-Q|^&DMDH%w7v@DVpxry4#-}0X^!m>He;x zb(Y1+lKr1w*mG|`vxVIVzg=c)1G6=y$7D`d?72mS?%`7p!@4l+B^>Se1C!V2OuX~1O@LW7|748`p-8%COJ@kl&wYsSyk{(ot>&5U(C@wUFBm@lO{yI`tuvUbraia4 zP2Y`fow4$Rt2~5$=C%CAS0-r2Z}%@xpJ+M#WwiTCOnfkMtLJ`tt4FQp(eGZ#lVU!o zRXx;nx5vWn{lBC?eE+`?%}k(Jn~@h=X5@R{^x1Nksi%zU0bA|=GmV!c9=(K_@ugv1 zujxx!n#mB|!p~eNy|U27_7@2=A<-ksdTs;1Rncr6m^Bl=v_IcAN-dsmr}4f8>JZw0 zu1^&lULxx+zM`5g(CylPdAgo*31(2!Fg>(^XzQm*wBoh(ad;G)722oif8I|#KRegG zNSF!1mYP)_MbumIeC%UuXJ!g0?)pXBt8w!uy6)xc1d?yc-~w5NvrKole9IS~I_~s;d;0mm`6Kox{OXpw?|dE*KYfubL!V!=h00+ zmv34B^p*GBuxH1LUC)002fzQ2^)j9J+1-0mZ^`YU2c~G}barsNoodQS%3N^ZjpsDM zpYVwlFAsbcMrFU(O?2|3H)K+u8Ed}pQSz>H=mS%01I&g;)UAAq> z4pim3SwU@SOAeFD3=Z330uN*CCknZ@QWtjhyhq9EgNGqjo-FTSSPOm_l!O2|sA=jx z?|82juR_M`ad_pTti|K~3HBo;=WGWpV%JiV4VJA=o1dX+fScDrl9%rdX9%6UjKgz( z6FXZOFN^DJOa+E}%2qIV)HmAC?Cc-oBoJWO@<9Nt6OPTG_p5IlRkB-lh>O$WbHiCD z*MEC!ADFfGj!$}HXQZW&y*+|DM)#qz^dwOa9oBuq2R&+2DJSb+0}c&n<%3dQcGYiSqX)Yi zfAeEF5Kd$_IPfQYd{ydc_!HhT{p7ldXz`|tQ!dimp8PCb# zglE17CShb2{?z$^jy&<2o4AxN;`=UTI-7rlG0Fo^AoZVts({#u=Nd0LoQdK?yZtxGNh@wjuKxI5ctX9mgA zz8gq_EeQzOm)3@=AmE7rRnY=j{X!1`*Os*U{Odg|KT%h2e66-zy9b+MnD$!JQcLR* zh}}vg5Nunr3jRMIl=H<~b|K|Y4Se_N-4hfFKF={DD2U)BACI4{Vl!QAHE|I7C`maS zapnM`i}bxq%)YNb<*JqK;0juj5h1A3bix~-rKe%Vk!ctJ9fSWi!N z*uoTp(F3IT5OmzZU4pZNfGu3q* zzd}+VFAIi|o#wj86IzvIGX|Yeff^kUhbk2D0o=G4s)gVWPoz~LZ&pkQ;invKB@CW$ z!f2G|!=H(lEglxBoIT|1z9x6VO3LlL{^~Q;g=>x*|M8<6Ze90_ZMRVBN3=FYPyOBc zw_CZ3+qb216Khks9QTpO+R^)_oF}}G?AfsD!l{q{E=_pZmD!7%XHq|~ev(par%uSU zU3?eIvd^gX+{@mY)RS)<%N*75#BX0fTfVo`9qhj5yKi~K`q_(U=r6^Z=G8AenA!L# znYo^R@bZrCi(h{7h(CPD`se<3+Vtc-Yp%HS7rBfz@!;lFr{J%fv^A;c9}fHpamA!p zU{d6k_#WnX>Fw$xd-{*+nA-V=m_^qee%#1M_WjypltWz$b(`;;UW{MXvBdD6x5djA zo26&HyHotuo*aQ?6J{K_5d-0Tc2L58(?%4HAXhnkn69K=&IkP&$NEg3%`SqwXcUSd zjp2EdUTRBR&6%*@+{n0%HQYvP)bsjen@eBhQPT>iic{=66^a?_%SLd~3mWagTzPyG zGN?X$Z##Gn;=&jHWTfCiR1@l$HvAY4LOEN| z7^>q&hEdx$gm&+;9Q@P~P9b)eey|qs31pLb7{emppOEY316$x=*Q6D{ciU~Nf0uI9?rqw=y)C64SlQP^x%W3= zkIAM-J?0s+FYd&aSoP5H*_GYr!UO*n8c<(5o9L38yFY;Y)+g!u+*DhSdK7ZtI?^!m zOMh%dtagr#lNK&{OdEJ!71-uPcRfjpGHo4>>ctE7o=%hs={a2}!mRThsfQx#aJzAZ zg6u)*z$Y+!4F4PeJP7+DBsnO3 z^bYHT`yLk5I>ouRc2rZt^hHI`5`6{sut7B%Gag<`PCCU6^C zw?^Qs$^t$32fUj3fdOtW7{)>%%E(TV!nl}}#On)A zB9p85LFxpq1hR28-9v5%TF3ZIdHTM^f(rG6puz~-qOencmQq+US^Sn5t-&yb`N3A) zhL+9OEL2=h?4Nq8Ft%1*MOH@ANpH1AcQ#Y=55Knd(%spv3+##P`duW}JVPzmdiq4O zCGktC2XoU$^{Hv}NnnIfw)K}-c1_XBN9;@fO*x~FVuZB?&QQ-tTW zJ&b|%G;Z|9Vif^*$EY%fmJX>YTp*q<;5cYFja@*@tJJFCD}N0S~J7Opp7ox&_bS?q+4 zViv&|vWL(oiC0KUWGw2G3iC_QOX&{Ot?+|MoNzXLZU$xu2uW0!q;njHGJROTO39MZhg-i@1-4)|*1L2eVC8WA-p;ca7w(hI zXesWp=U@Wlc`cXzGUajuFeUne~PmO z#5mwObes&iXCV*5R#=x)QHCSlRSmW>8g1N?ol|tQ!|eqzm?~~WU+~nTd&fQJM=X9o zh7^E8g!DISu$c#;QLxp~0Yr)VkJmWi2SYwEJ;*~)gC1WN7@`b!7{=FGN+EkRBcRpw z)QV%Qx-6N(8S=9OtOP|^`*mTJ$!7Ea&|yh^ zLN*7Xj}ad3{`YFIMd!{uYtLNgdjCG-HvjhINb1d#>g(Tiy@y}n4q5HL+CJK}&Gl}% z04{0UM_Ql1M}=K%$6WKw_ECcW+P-OipETR&Deq4R>FIV7D|gU49-CGh?{~cez4-D4 zrrdVhp4l&)pFSbPswt~!CPyow=7Je&YS-%Q892^e@DhGUJ4LA(WWCYtcp{0YM<(VYKrMOD~Z zF*B_W2jc(!7}&aJWX0c3s^|8(-t&CfVk!5l?dl~YnXTsO5xQ$GTdzE-=oL60PJ@8i z{)DRiI(Qq-yvRDdX}mUsKjFDEQ_4m|Gn%atu%)is(cd-j`hHsVwUMR+-&d{hHoV~R z{`Q$CM$Uw{;k&=w-}TQw?WYxA8+qIRdP31Yw`0SGam(|40{_0?{Yh=-D{cv)b&^Kf zUmjKM?XLINAJuF*|2nD0zYBlD4dX2nv-}DD3Q-;RjaP-OTW6-|y{~xgucp1!TkfUb z-Sq%nx5jhd)T8^M=mB~KPJlBOepa%M)E&3wy9WnC1#d%k5uBOy!0c=fHEQ1*_!F`` z>Rw5=9(bJIjfQ%E=CSi3>e|=6G9P^fE_O3?;tSi!y>*&q&O!3md}$1^=btC~2b^0n z)bh)M4orPCjR^|>ADGnHv%Ei4;$_0OkR%6je*)p7;=318Z8ADAil6Z#tX*n3Wf;N(s3|2~{? zKdtkv3#RF3uhIU5t?)&R$)E7OFU2;tn)L_zRzGyzC)63dram?AHsA_xEMf8Qj-T zU-PiFu6y#@@6HU<%3I*VM>}Yx67DS@Jh?mf{3%D!mUX*FuYKibm!ABYeG^B$!=`oJ zd$0ZYQ|ULY-uK)a?tm}iHy*ii-xO3)YW3Ss`NK1heMCPA(0;|{q)t}ZZ|PX`EkA0@ z9NC@ocPk_pTI0Q0x$*{%rf)e7s|zp3aSkV;YuvljvYd(|{4l=1ZD%*>h14LP?gdQm zum@*#z)It5G6><)%^s3?=$*8(U&5JgpJ0C!iT5m6pf&a9#e}C&YFn#s=ZdOV3b>Ww z;?@me4TefKJ>mGtEnWs6dLVhsr?7MMB`Jop_#rVK@dQ+mC8XkWQ~v~?Fv$r%`yqVT zqO{~am*ZtvfU}J?q<$HVXwXo;6ms~VT!BL@;Vs$N#+LpVAW)E50l2NZ+;QCl>G#9- zpPc-JhKtr)*ma|xlwx)?mmM@JH5V38C+M2Q0U>bHlXo8Hr~6Rq3BGj!O@U`r4l=9R z5~Mg~%Pf~#a$@uL^=n#NsD*P`ez@N`8_&iZw*_Z88zpZ;Ay~bg9imHW#ke{+3-5Gb zM~0)=(hN#Cg(9@8OZ{XF$J6)6#uh#m2!p$aI=WTb>)7S^0O%3f?C60uBU4?x@DfvxuiJQ28(>H}zK0%W)1DVQ)~-IA%g1hA+2f_K){; z@4fh>)!6grZG6nD-Gg-U>RoDVh`()UWv7%@h58PfV+sA}q$9`@IAZWxd!x8(C z2)6c{F3g0XtEZ4$8b`SMk78qsFEoDIrI8!x$;14CmHKbRS8?t&=nGzJOg#|v3GV_| ze`v#ilY_V6^hZv{oxeNXHLzbo_~Zv)gExw_qWz+mnYYY;pNy?|Z&jOhe-_VTJ?aCL z(QNbxI1qMjOpRw<@6WrXbrE)}CN>VGv#$F&`o%ZyLh078t#{yF_+RZkkEY>#NNqR& z7F=eYI$`1g+=pQ3QE3_2gOjD+@lP;v1!Z%biOpJl<~YJc8|65&&AL}{+7B)1y*l#j zR41Qt?L`~Dv^_?)_zk&4zsGU)`ewU*I4hb|3Kyu;;`q5dsZe*12AyuOMC%QFyS5L{ zvalpI!eZXWHa<#}#ohOO#e>+MHIeI*fmTbYS8Lu z2?xx#2%^Cz`*&P8TFCQlg=`5(Z?KVstVA(F*rHCr!v}ow%T4L0ZU5vSCFPSr@$AyL z;-`H-#NjrNB41g#9;L zf`sC_Iw;RhxmiTPB+%r8lZ9Fm)W=B#oy<>C{Su{+JXV)lj%1M_h&&&D#+;U&wYS$#CO{-`b4o$dH)-81POryNUN?dzuc zS6ZTtEHRW;*Y25k(4uWy@0)Hr=Dx>snHEg@=(Dr#sQ*xx=31`rnOeW=tfR;7Z5vg4 z9&EeSdSZS1WtTnh>{|W3rKjMVLnK#icXn(*!wX_EFBd6aO%qcTeYjyXKDPV|OxSh@ z4;zKGK`ZrP9JElc>ta{PgTEiHdp{_zS0R?Q&Y!~K0a84Haj?bNd{VZ!4M*IaHp*ta zU$R`Mt83!`Ne6`%dv;(1@v1C~2nRS|qh0p4_WEg(5a%J-4=F*N4i4U(WMxxljR}00 z?HC?_2#OD^(d{MaCoRr`x$xRh9OZx{dNBcWkPw`sj|%m%Y_%jT(yi%|2oJ+g#K#t% z645KS-%)I^1rHkZfhm|kFEJMIb`T&dWGI1-XE&0AK6*kR1D_8J@Yu$&+Cr=hq$XI+HaEQVKUILa*cj&>uW1G^?le>&{vc7woA ze*6`PVNP$xOB{Kz9_oH^D@{z=a*W^X2tNm+sKJlR+>nHYDkzBZbn12SvBej%r&`es z&rZwp&=1_09PDcN9Z(&3?PQTiqjx;?gh2N_X=5FB*=V7UGTm!$qK-82$N>~^@wh{mz z`3QrsU%^Dm=_C&J^_VE|(1i;q%wlchm@oz*hyFNH6-pwDJ>C=_Tc81hsJ(m3Ef=*M z_rJG~uE(#PcBU!yFm`RbDeWHF@$AQMwFI6`#Zc<)x1a0fK6Xdu2f1}^$Bhqvy6qbi z)RA&~Chl1~`EmNx>oy(slg&46K3cv0h{5qmdqexg|NqNo>&H({uRW8V34rN-Xx|$t z*KLh z5m|CZC=H(V(h+-d5@SgzC;05lB=TKxge9B_e1}&F$KI%rh0xy(?{wL3E1r>T=?V-r z|3)?HI2O#1e3cB)I-)zNUwIR-MMx=76(L2vu!(I6uz)Wvl57Sq@v!#iQa{+1;C|SG zOA!qNClz`;LROd+mi{ucxdk?yi>-D?f+CwJ|p?6@a4>>&P zUk2w%c(OY*g#S_1>7t2avA%ZO99Iqjf7$g`CQjS%vwOQ|Jeho(Qs# z#AU@!3LH~mc2FAC>ExSZWeaAu0t@tFO5)SUB$05Nu)+W&=>A4LwFpii2uCR}3#43o zqt4+3?rW$71O^cq%k-lZ|6%+IUVVW=w-eDIj85<I%7cumNqlFbdS5M+3~j1hyJyWjwYZhAj>;Lz^Zn&qJwmh7| zv)a_!wcon>hRyIA1kmJ4aO@8v=N|AkNvUs(Z{c1`dnKi?0t|v&l|pRV{*9kg_Uj-B z1|W2%FTfCNhCd?AY)E|q~r|2ho&i# z{ryddp$3xU>(AsfwBwq|H0829gTWnNAOrcruWTca2h-Ka3m!R;5eA!bWJ!I+_+)Z{ z1C{y=`&S?$bt=lk7hB?FE2T}vr-9*ihp6aK;|#50I)K-N()jqDRDBPM9_;<$Z^dC~ zfE`G2Kn)OuKOh8x0kOnRy22exKko7xub0bb1%U0-0{CoQ+vR6mf$X!jm|ht$d49l7 zhmBFI0~;9z-7e}m3WXL*Y?5J_f0m?LMmZ||G{%|I?I^d4yBU4B{-`+Fg1s}Medby1 zPx$Twa2%UqFs=4io~4gI2bYE!5p>Buutu;W--?%Cgwxqx^#YAM?bBRpwKr$%nI;I| z?Y7)OBXs|?IshNESEk+e>!wGVS5D*mgsc@V?f?{x;d6!F%wt0P6Y}Q@?-0(JGp=9C z{0V2eU9>^?-2WLK)kzZE5{8_@DG1hz-{&t7y50sD*_Ihee-IZ}K3J{q%Z`5G)2{d5 z`7YptUD_9MRZf4~5W)fB`AW-)ro~W~=Epr|G>&{zQ|f zJMr{&+L5pQ>w8Czq8HW4H15F-3BhXrWJW#qCJ2AoZ8@Drob~WK1M$q(%+yHJ&C@Di z3-pZ01J5Ga@4Yb7uaoc?{OCk~*VQkxGpPQ0;fpWzcMZ4pullO3&V^Mk{tU^N`njQb zY_&fI&0wqjiGF6Q;JNs=;iEiDX|28kA2;3^OCpfTUS~%ZP`C*_uRpwbbXb&GH(td3J(Am-0ivdvUb#Mxo}RYPV)ZMtl+iG zY?x?zF8HRR{yyQX(&b10>pXuZoZIU1_2xCqXjkUu>)=la7r&XG>4}{gocRg9PY9>H zApGZycI0b+Irt#qS5(MW`~M8nB+r4e_i%k(IJT300fdhXGFr0v5{{%N<|O@u zHS>sSdM@a~j9NfqIa%KDKSX@r!i8Q4{xy)(i(pdwb-{;Tp28|?>=!5e2+8hE*ZvKX z)~=5rffXU53I}o|9}#kV=uUm+7VI^2=eB%zifH97>vivZZVPo!t?QPVEpQ3=laCX9 zV*exSe^a&Jd-JY0w(oxsb#jM2GX;0SUB}J*E8Gjazw?$?rqY>}yE@t*|HZG?jof_l z?y2@~{>!f4|Jyx#;C%SVwXg8sO5S&b;s!1C>NiZmC`#S`TX4VmtK2&-Jz?-oKRBWJ zuYW|=y-%{EmQ#W>ryZ}goWy|WyA=c)hp0c3KJP>Y}VkS;}3+v?F5H&gn&5vfJy9n+H1px7{5d12-rWTnLy6hOdzxV4X4-xM! zY*FBsMXpMX3Q|3OEs~0bwOgZ$Rr-WPiuY!>uP3`Fg+E6$Dyc7xOuX3KgkQ!&qi>@v z!~`fp-M&wu7=Jq%Z$}1l!Y;V|aBlU(NwGd1`~6WE1{@pZ1NH1yz-7#KcOlU|ByN&O z?()l!vtYnBoz55EQ7r6W#l3}kMGr<%itz`qzL$nI0J%-}gDh>0W_czYSv^i$db)E0 zx0+0EFQogs)+sA{k+23P@tM7`JzLAO6+!eg3c84!>*O~&TD%170y{MLIo)lbrx)W0n?(wUS z<`V)BLNArJaw#59&XE!yX0T3cdio*0$>m6bbmr=V_l{??kb z!1oE;1~#Pm`-G#X)0Epib%M;@z}|)z<8PgCGPN6}t4Otxz#Dw)=zVAqUvjtCLNj(K zaK9bFPledw!)rOANoBcse(*auKR4p^dp=zqwg58+B^4mH@r=tPxIDAqZ>(C3IN`6V zBUuP@5-#PO99Tg0!y$rCQn(rXJW{?4HWEnUpCtjT(}WR>?-S~obPyHs@&*os z`sx_8hOj5grIdy|mm-OZ)T^i6et+qM9Ld@2_>JH<&aqmAp);z)mI!*6#kos)eLCs) z9Y2%P{J2tQYWz92F-S^2TY%DMkpw8<#3<+MpbO66ir87oYOrlxYPpc+(q1q!f>M$7 zwcHT2f}}(-N;OpiaST2_NIkqbhCh0a<2XkbXNyH*4`;;p8xvsT2Y4~0&s5KS|I|OX za+_1ztiSvWKB2U|WrMVCKj)SY_dGnk<~%iJ)24gQ???fuY#XY5-#(YVFVqIqUDK@o zXPI(^@_X{x1tjsFCf&UL5gz>xjFe($sQwpr?oJ!{@!{l{6W z+EP!hTYHsyXRh;S)SSvu>Jf32=>El?>mQ_#zUteT4SYOv)TL^>P5(j77XDVUdbIme z`XH-Pcnf1qoPxIj6WoDIZ@Y`O-nV`2MSqY*>cXeG@zfn$H%PN%REgk}EG_vG73r~VGDi&Lxzp-!{DlCahVk;{2+hNce7pI=5)m{SVfdpM$5v>mt#*@_o7A0k9MAUpak(n$mloj%k^mGB z$`~sSo?vwq|Jog;G0>347b{w2k2{EKo#DC-f4&ZYwV*(T`dJxm9K>n?Is6h6BX?}@ z|F!on0G1Txy?Aw>o-=2b*_pHJgba`D)+{4Tj7f$^ki-qtuB)y_Wz`TtlW-8^4{;M$ zO(MhxHOopyTwoxC$c(v7i!~|jEO(Hi&9bahF$N(xJ$o_v{b@zGA znc4Yv&Y5opzS^Dcdi<)s>ff)cy1Khg^|}&%-iP0Tg^ZBEpaH?1un8K(cA8#fC$B&o zPBjQZVCjFfTYxMEA2x474ncr7MZw-SPc_a>0~_IQx!i*v>vG#dCr7jIuukBM`mTzq z+j~vr%3VD_WA+!L%kbuS5Ubx-e(IRdJp7U)zKJ_h^ghG;`g^@u-+%g}x9^Ka3;Qb@ zdZ&K(nhl@*(A3(ifN+>han6R5oObb53OYdhz5*^|m$9G2QQoZqPW;?8>+>QZuv2n3RmAHi{pIUFNH$;&f6jSc_CZchg45 zS-%V;cpN{Rr%uC>51VmgL*D~JkXjla^~Pl+r(LE zzp+?cF7JlIU#Y-Y{SRpp&$4w`fBIp@?D?i)Ap zWm90;y!M~oym91|ne~_!u|7gSvu8HhJK;dU(~GY&QPsrzdV7>x;hf#IFA1{0uE-_`|sB3@9{spXfT@D{7UfY2A+NTWA|^~ZO-}6SzH2V2jfqV_mW^wy!O~P`f_|q!Q4?Q zqRzMB7r3r?+GkEeKOG(O#7!@Gov$Q?J8&fUsDp{IH*3AI;q;(Da8tRbJNy55<}#>7 zEhKih7VO4{l*~`B!w9ekhl4X0C-`~MPi)7k(Is?0)I3Zyj^7M8B!!cHJD%ymXT05w zKU}CV;d-lCF`U-d$9N{Nrah2@Gg~-7KjY2~`BI*XP4!yOM2}|}yXk76^O^a|{cCR>mIn<(4 z&f#Fu$I&Ihj3+oZ!&NMtn_bHC!I1_3qY!S&T#643haYSq3eiL< zIe%$l;EQ(y>m6>i5ofbMLs!mzk04z#NxH3s!f9bG>SD~4qv$AAKDNyQj9HY9N^Zr^ zBnW|E*kdQ)UUbbesDzD#FNR!=+KN|}J4xVUwXAR~pLB>l;$*XU+KmXY0j5`x0 zV?N;%k7FL=zKMYcV)NvzrKyRQCe@sFyQvP^3&_bm`=@C<8uH<@i;Ln@vNL9GvwJU;^Q-Q0vvx zVR|8sulxfnE;*adHl*P9o`Z?f=?9Ko`hjCoEB;{BUxmDn{s^i2CQ4YN@CQLH6c-ln?vFgJ$qY({#Kg^Q7s;>Vs=;-jxQ?>$QB~c;NkJ_bCsWcw@Qr<&C)E ziOiJ`JZR3wYLA0I4!x%zL?8A@m~FWBM;{8GP7aG19w-k!j!!3JKH&qHxJU~ULRmk1 zoB4Ks88Vj#KJ`X(<*m12o^P5;lMnq@jCpIhG(8bY-urLwr&^gSkhk2fX!QIo@_%l^A@Qoc*Qdl66a-oBxNrX@QRyYR+Ke>-?7R)238O*yj14ub=2|$P< z_e2#vp)qg4VuWS~#trDrkb+d|CrcRoV`OT2z7;M{$+vfezV1FCFjkK~UZoPux4#p1 z*erWbSbpcby4JReCx#h=pEAdLI=%ueR~X^TA5{t=?7|Lx3q2z5-A516jWeIc^`Cqc z948OC=)>tgHpBh+#c~19fH6jMX(YDQ)R*p}avD1Kq`yA@%|E^OqbF>@jKTNb^V}=G zd;W>n?>+ytFWrgnEZ=L^e)$a_evf(Nf4(owCw%jdXK2a|w1O*}SO0RU|47uz_g+U4 zmpoJ{n4Uew{`*kx$X8xkDHMiF#Xmp6VKu@T(|<{YP^K8RG;l=id#|EH7_Me<^6iHpaFI|gp?L4hye$pezhCMuvc5wYgOQ%1%DBU$| zU4!4?*;a*2Z|w{CI1UZQ#N)RUDy&izjYYfZxjo9N?69At2E1##PyI-e7YpiQH&ori!5-ZkQWE0l~|xrzl4OXdaikSQNm zn4qzKxCY?2>Gk4JVtLAAi?gI0PBrwwAy-88aMoByxp48cp(h@pd$m@VSjrN$Mz@KX z1DQAC9Utvp+gi65W{2-GxQBr&X3G`UR0^?_LN3}CSaVGe3$jLu-V=_LOXokMZ!ea%Kwr1-uH#F0pMUZZdv}-jQcqaLjrWAZdgW{TsVBT`1TnOr zBBFvrFIIIXakT+psNRdiHhun!cb@@CRf&D@Hf<8O3E@q)DRPN{-~Riqsf9DNue5cx zLN$D8=)-%jtlZd8-@%ioLJ0HKGq=X zGCJj}!#D#OENU z3GFN?ka#q5xf6$9#A6r=cFcX_3td#Jl$3_Hd8%vZ5QfpwI|}8_rk;=(yrCQ18ijs_ z-dQ!eay&-C`1y2x*IQj9g#1x{#@m4UNfZ)+@{1gTzA{7*KU`XiU@3Aw1o7$`L1U&V z6|YxHA%rfEfaz1o}2F>7LZX4do;Ch1@gO1?a(yAoxOp{a{f}y0u z{c2Qn)&!GOB2XzX-&y2_%T%F~g3GSTkqe<6`IsW@E>O)7ZPL`#zx3@Z@DPC9(o34B zg!CXCy^S)_cH0)^^4Lw}b1t;4jIunbPG@$`x;2$rT7!oM0(2IXwt+E>4w4E5tw9Ir z(Qz3BF*cxgB6}zo1=G|}hNUgigF`-Q!)^_Q=xZyfvlN>ohOWvG(R!+>B|oyJJ8V%6 zxOzAQm%2IX=ewpB*6SNIGnjo>sE!X5rv80zxo}SPsNab!J|Su8aCYy!2i1SnJi2xZ zj_TCYqi-Ddz|Ml%adhE{zi>z64aS+zplb;@D_-&O!Kk`paA$Pi`7gt&0Bb$2D!3-# zijq2)?PrUxCsy%0xqUI#%NRGi@EacUjWT8oQZTBNikFpoqHkW@zw?6kKD7D&dymcL z6Ndb|upPyMxkJhC_Eu+y2e1C&o&R}w*<5n}&Ik)@B>vdjPda_w%-9u`(SO|a^FK5B z`?qgiYuv>*?%d+m_xDymv~{>pzWdh)Dwx^de@1nNifp*;r{GfE#h>q*S~!_;{@P99 z+)n6D3l|V@>1gpka_FSOemZQu+e3q6T@#1( zu7r#T(#enO5OyABXpji-G>yhBmL|e?=aW6Li-(iwTex~1jtIcuXzPuqeDMSt#^j$R z9_P`~bhBI9j=sT==>Ly3o3_wZ#u17f3b0_b zRLU+{KEyU|Y%M_7D0-w6Y-4Bfm%Y)4$0Hh@*mSvsv%n|YLPZEsa~8fB(cS~M$x}Sc zCp64}voVUmNkm>8jU`V+VH?pRU%0gkA!jk9p){a7=??GSuf(xlUunWwnqXurC5!J{ znJwNEk?)}+fO6(?@?czrA(k1VRU^}@Lo!8)Byo1Mk*i=mo1`Bknr*S8wD#^j)>A@) ze{gh?kHed*jx#@)P0S?jo7W7bRtVYXJh(@WDaz$NGAGl>g@+r?#WpEBG&gIk+h#^! z#N*7s3u}w_tT2Rv!+oQ}%{YqbxI6aMU29voU~GtHE-IQWSMI=IcXqJne)GAD4=We$ znx$t5u{q3CFR7knMnAmP;=R(T_fEy;ssWcEI3?lBqpftuYe$lftrr!{)Nn9zd65N|k3@@#r?$W1ivhJFbP$rGY@ngy8>-dgk>~^7!<=t=ibTsqmRi^6yVW`K? zn73_+ZoKGSeVg{2RklZ*bY*m}`7jQ!ch5|JubD9ecnDf~H`b`%)t{KkhFaA+<{8gc zyu)eIafd5JdXm!|C)~zNpams3tMM;)iAPsREru=>K8`Kj1c5UXTeAnd+QJ1Y#=(PNLXSPm87)QiDo(7g4T=@0T zG};cTi|vGQCnvuD7&Nd^B;%cPIr7Dlb=1$r=nC0LeAy z$KkbAW42)5MlIwdH60c_+et`F0uAL8%jrGr6jcFBP(qa++zBJ_=Lo|QyP31#nZlm> zQ>0W-AkG3uvuhlF=K$;YR5oS{l()r^KQ?5IjkSsQ2Fn}K-8H&iiv#OH5gm5#=7JhhH{cIqOfbAmQxcg-IOT2HYlXH0ICt7ZYDuiyN$mE=CZ1P!IUaS4IBO0Sr)P zljLu!peL1!+D!0;jWy>OR~p}d8TR-g5pJ+{8M_^q&#ze&8C3><2~{!0Q~xCTrt>DL zKHPthmm42SJ$KYURzE`L>Glg2k6*O2XgKCBY*yG@d_KaCN7`!CK77>L#WY|W|8A1b0e<6+1 zm+Y?X;(GALLZ=coa8qf|;z$p2w!Gf;VK|Ok%26DSM^ubI99Y?gBejKiGFhLhWs!iP zNCjPztH#9$;hJ+HUmh$^fUO>%2eG&59}3TrYql9rJcgtx{O*qY%`U=xdAi>+J(F?O zp;lD4P%RYWC}1hVOuiQ3lG&Qrpo~NN+aB}^0JA5X$v z%D$uX)0EwMB!B~4x)Fo}JqTi4h-=gs?sLuyv0;OIGD{SpgUOf*(Sb$s)#wk=R1jR^ z!YlNhgErv?0?XH6bd(xO=#D)?4H9q6ui!p=6hKTK#sT0Y zGkDqJ05E3TP$)L5MpjAWTdF(qq%NxEO63n6u&82$74LSx>d8`aAOvyrv)<5*L3|yU zCJ_G8eLft>8CaLjOyIW3pSGq{e((($f%sKI0juTV8@a|!95xGzArH--NnI|PrQo3R z*e0h=(-L=Bt`Ld&e8M!?^W!jqu;jmr#ko$vCq&cvgduMzDfw5-oBQ{viQ;*7_jT9V zYz|^u4F-FT8MU=!y$VJx`V=N=NSYq}GKOhD9w0?!1O39}ZpdFJps` zub&{bZiZTPM5NZkccCbF9~h&8JLSH7N*C37vRwMelcA=5&HK$;9xs~{@k7Mm&@JFo z!dQ^d6tV>gDf$U?Bayk{9g%tHTbSne+0eg*g#QdP1}XL3KmQ=bU=nD17djls9M&fc zvkmJr25EgltZPW0T^_tNGXBZ0)aDaXVVF}0SBmd0_g#0jnRsVpUOiMUeH~vkF0C_r zzKm2XbvXN>a{o9cAKr@K=gXy!U004be8v3ftN$P7%!MN=oQU-a4}69W5T(@)fr?(L zd&vW-S~$GUJG?cs*_*S0Y4a9%@gmLUcJL`-d|4Phq1kxiU1?+r?munTJpMDr+!}6l zLdxS`#43Uh1n*(Jvtd7;4J|jJ%{!cv` zKA~+ozdqp~|KF~)t;plZtub#qkvuru)D@1OfoC{5;)+6aegD1duAjl&&dHOL+kAv^ zV*!K!T0C%PiVlTjx2kE(+->jGcbmt}srSZrTdcBWPA=ckZ{F_vj`-GJKQeCie)MO) zWKKMO^6nSEZZv+*@ZR22zZ51AzIF4?^YPKokNm@D%CtUVt<-3Wyyx~`jK!Gm?)~#u zzW4Fr6RKg@I6hn)e*w~ltAFt08H0-9gU)UoK{_4C6{_hjt#j|*`w&EG2i+Ua?V4J7 zEqAoE+x`kt63nuASK^~1w|>Bxo;7>Q*I*$hx{sXj#-YPo*PN+2l$V_ClgVqzcO<$t z(}O2>F`oXw!qy$5`>=oBNRd+U+gOjs5kuKmm?KMrICQLG$WlL-FpfbqZkhI&?I>kx zYY0*lO2pge;2GZG5imXf>(e1v><>FCEOm}{F%X@0f7`w1gn4akAb){WJfe&VsG6Tp&MaUTuT^NQ!k1id|hl1*f&o=R~` zb!Yuv*V-12C(x5^YBhDKFHU*NqqEpNcvQ%Zkj-Nj*l#6WdT@0Kn7E7`-6~Z^ae>)8 zfo75%KUqaYd~$-Wa&MSGc>M57s3*J>OQWGDybGy~ZiI@8`V(i&Nq9j@C6YcnXg^%R zPbSGj&Nj>#ETmDHK*?58Ym-eQJF~s_lU-8_6{NGgg8g{0T*WSTIOGda_^iyzFGV?uk$ZJme`s5EWVZOF()_7 zC;Y{jCJ-Jy@@Ktf;;;+QlZ_+T|6iU`jX%ZH`h-bgPqDR3P)-k0N?4KWn7citzGJV$ z)wj?)g1*|A2frHsX1Ev^?m);qc+E3#L>N@suTl`>5tLXi7Sl@@MRmlz;~m{pi$t(g zPn`G-4ub)NK*W&6-s6u>-elr01GsN}sfr+R<-^@t|l#Aql6adbjMwyab) zghqlN%0@d-m8mo(a%&<7FP?V=k!;q}A*#W7YD?itIvHYd(ZU`RMM7RIOOFMJs4=H? z^bRJ;#SWV+W0-{!f}PqtZ#jO`kK4pRVy)8U2vpE91(!YZN${l^hlr8~3FM+ieegDR zUKds%1YHD)V9BLOnoo$LKzTWw2I~SMap_QD8!}W7<93*$O*1raQBXL^Mt(KK;~5|v zy`yy4P?(Kl@P4Dbx+oGdO>znw7%Es1hq99({TI}#NF}Rqh zfD0S6k1-15E~@0dHv5u;nXES}S&|5&2@@XP+KMS{!T7HTvipt4zfxqTLn@(=nt^FI z$5GAFTE*)erA83YVt*f`hL8plWN5-t+gymIf9dp> z;JM$1o+-Z;k^PZ*cJ!W)9R0fC`|o_)mQBBQ@eMnVx%;MK(eKCYO?1uJz|Tcr-*xI? zQ=h)$+P{dtzN0TKr(DFcfl=iYy1xxBiM-8DZG>ZU)Tg2kUwqY09*~|RlZaj8;eWhNbm;lFSZ-t zchJ-ZhcP*pw19%-T!aXejZs?WJc(O(H0QE{r$bRCE(01YTf3XGhg) zs@U4$!3&;UINkhTKNX+xx95QH?Vu39qWMtqnOHMvc<{t;9C3J5F;hqP-ZzfNZX=j{ z7(LIs4R}p#hHf31Iik1hvJ!{?%4{PaQ@QVJUVCbc-NY1jhUv@ z;Ogxmk7?L%8M1p!IrNSReL$L! zN2L42gQeH`%697Ddd#jQoD~(CV1NX|L=~UFe4HAhm=+gM)JHeD2?|ZT4)R29o537h z1osfi;d)jIS0>xz5t-(+I231-I2g9Z8k40GqGRq;Z|$O5Me}fw6ltk*VHe$Fle6x^{nqWj zFmuWPfXAcIN_^2xoH~$=J4EjJuH*W*p$~qxP5|YQw|+5 zrp50F8JahJxTKk>9VW3O6-P-GItB1lcdHpicQ;{w0%eqHk6Qq7xFIO+AtPhvCC=bh z!ff&eca9x&e{+8~)uM`G_-ia4K1?;%nyx~oLR5xW^oclJF|LBcv)Lh1#FE{~-JDsCyAw1d)Umvs zgo$uCpmcQTC(PKhY@FapAO>xCQtgOcKjG;i0RXrF;YKq`LUeY7xCG+B>#os{qDMT9 z0pM``ryYu;&Gmt*Ff|t;6y46XKL>mU9YIkUBF!HXB2)&I3{|4T!n{wqpT?;|AfKf3 z32nnAGb?UsyBpSbwQZG8dsWHw%uXaf*b$DBD*K$-iNY@9kKBeCgP$+;yk-XL(yhlq zmdaOQCes7uiQ?cc|K;zN%3r~hwA^9Ey9X|*2lME3|AWul4cPlajGkEzFCJ=_%hCt-A4bzhHZQqocFvxqxaW(-0(b2%WD*igm zQ2W_gJMh2vP4s`Iyc^Nwo6oR44_78OZhq8XhZ$;r_rb}Y=`ceLsgszYMtM8tZv2<- zs&!(j7QT7xvpV{DrAn z`1&%<{`5hu4^ZBYxf{>us#+y;PkAuL?7L&$SgZ2DgXZ0j9B&S6D3=~RfLVXq6ZXF;RjYm$ zH`RLSfdi($S~Y_W!({YIYYyx)pTzKf@_HE9X9jK>4^#bqjOl)l&X_fij+?vTeUeh^ z?ny+ocaMD1n42%bP2D&aqnr+yhi||e5@QDTqu{>|69_3fn?wl3LMlx!BuD*Z@YFUV zAA_RI$Zzej6pZolP4o8mUWMiU5RC~8=^I@TPA)_SU$#k8 z8)NGJ!uwu<8{$vgdu|zm4OgG@@h>6mtlO}u6dl3(dN18FL!Q+27jf73=FiU1GF+*- zJf)a>0I0&>`^o$I&B*oD3WAuaNRA-*baL{Xv!EOeG-?im3{=C{!d>wEpP%l!S~fj# zT~_;WuM=MzMrc@ZA&tdpnxwux8H}-uhPM;CY(obT#d!XMUT+(srYRmpm(s_TX(-)R zoP{T9j0*RK%@I=$gY&;i51^j%$`4la>eeG`)*7Qa?5}oju5MmaSFz-Ev@tmFDW7@p z9s~0Uouy!s9exzj=5jHX#<$(Z5tNRpC?t=VGKb&U!I#!5k89Z{ zKC+04*Nv{jmb_)uoE&aSjD?8KHMXmeL>rtaU34G+*{-*(Fqbdd>(isicE-FM2Zr?! zaIHr|0=`q1a!?EA(F^UvJ?pmT7e@d}USLLFK$L9?IWqF_*58J3*aVX!lU3>oeLcF3 zudp^vw>a67ZB2m5>C{3~Bwn(*mWYl93xC&jwQ!o3FFU$+NkH%Oq1}U3bUTG3{%gNa zkA_lv&-_8vVs9}n!4Ax)yWn4VGmM9IC7MrYs&wB9#Uq~yHE%|M-6;Ss?8=x&QVl(3 zmFATMS1}WQs!C5CTU;4WV45aQwxlmP_@AF$4z=9nQw_reU-rHVwJ;51GCKgGHZnJ6 z4BIsJV0>qJ!_L(LzUDiq#~!>1<7+6?_Zj?o+LN=PoAtrpv?(Ff=bEBA8~Diky06xp z8kZeTY`D>>rX)I8I1k;J&Jlxj@xva@Wq;(K*8yxQ^QJZ?xDc?Eq2|hVsOE3*a89s> zY>V~Y{>#gwR?{WfVgZv-LGRN&@oXZO$whCBc#c|trQVdvv6MIaVK;dfbauhihQtP~ z98Qc;;~NrdURU#V#q-}+bXl!}qkdx;kI7O0N`LHZWX{D@z5A=D99H&c;U*S5*m7Z} zT$tK<(ZJ4s_7!~K81J`Cbj5bu-!8%xtEKhP5C7j@f)1bOp}TKvHAPc3wQCMx>TXx& zZIFUu5|2&pqWi}~HOe!24E7&C|JTp)Hj9XI51x-)cS;tS?GoP{URvnxF3;7?YKQ0V z)VgrrL+;rIubk*52epBkOQMrpT)`S;ae@=qgY>kS)Bp>4=n)dR$YO(Ko3L$kXbtV0 zZx`7T7kxMzt5+HlVn5jss(bygs|PyoJNtLK+_u0tB%+ChnX?E&Id4mtP)W-Kf>}&T zgLAk>lW(kK#*qzk_8PRza<|*j6o6)zmNE~+Jw9sGw>tn7gqJNu$C1TIoNQu^s(!wzZ!W?O!Wg7L&+Nd&0n!t_GO zi1)mUE*~F!4@J4eg*?77r)>=I{Wi_-8*;{u)&ia5u*70zPGkooqS-FCt;laTu`{#D z?_NKhABJByGg&?wm$3C~UKs5;1&_+9ah;U-@j^7SeZYJH3qtiwjnlQqBv<7}x)C0F zLP5@kZNnzoVERTYJ>guZ3BD~8`L3x$BU|@Z&~477_Z3+q$y39L1)!z1r>5~O~Wkj;a5y*!Q64X&M-6u%@_wW(TddXOcRn`ZW5hx@CNOCxBT za)TC>U@$<(2^V&eC9fH?W_M_KZG;JcIhzRxTZ_!5KGnhvN%c7RrtvpE))eKNLKKK< zo5m!3{&?;=1AxcBkOO|(n4v>{`_F5-*tW8h6jCJP`B6A`rllb|eji%Flm~igOeZ6z zw?ugMR)EK1Vs(0W@%H>MNlp4Am$ig65Vk!YBbG2p0F1?Pk8en;=cCBxlsuT3%YzNM zQB?iPV?zR}fzKbqTvEqTiVF%+QK6y~)p7To=XO!8T5YG`b9pF&EWsba=d)P&FyqAI zx2V*Kc$-Gou3I!nAtL5?&Q#kcMvc*~F)&BGEHO7^o55KqoGdZ6bCbs~>AtJ(C3TsIUyWcMw(J~Il|Or ztHsnjwyEk_^iXyx#7J#*HM^||9SC33)BUy;7^RgX&6oz1=Jf%-I9KZrbeN&DgM&QQzhhU2QMWn#SLwK(jNlmfaq0YC>fjX$$J zWq~qS3g?_Qln|mDHzQ5V;bdrW;S^|zTR4~xEiLM1Q)pTNvJ@52SG1`QUAKbmyaLVD zN{d;-_8)(@`)v!=%f4t#7484p_yjt4|LvYqaw>ixnY#E#n4$K@zbPGdQ*{EX2KqEM zPt8E{?hfFQ*2C6@^o+!Z)6l$GLITYvOr6a=O?yf%pK(i;SOe2)+go=%R1Y^~`cVdM zCuRfe(7eC!#pO_oR+IEu1^XY^HDL4i^Y%w;dVCvdm2W8xexy3l^VaDMTuVL3 z!JSK?F@sj0G z%dCBTU%fVwnRxOx^F>Sm{NdTPuHtjma_LyC=y~8n=JK;|OTj=a#^D?EqLvh2=cXd73;QM-Rw;`@$-jRPx)hczY#I^;k#fpHL zv)JS7@8-bc#{3X3Ofq-iTgDuLv-a#AX3g2vH1ZjF;v)8s-I{_*ei7gtk`MZ8*Ty^{ z+XpSL&#InHp35QlYEbuYSe`8@xH;LgS8?@tkJmVG&Zbe#`Xp&&x3~Xt zpPX7awup{zF^B322$zx+JMxn&<8MxWPboDQyUb3rsG}Lc(>`p-le=ayD0T~NJZ*w= z*?w7fH~xpHTH#pdGBbyQ+9iV2X>yLFXpXIcn}7)TRNY#%gD(RowaCL%)dU#eeHl5sLgJEn{B|Ih&nYJ z6Si&XoiMN(%4ldQE1Cy;%UBnCLf^i-=Lk5}4ED`I3fVNOf$=n=w(Y}pr_B{6%SW&{ z_Ov6{2AC$a+twz~wM*NhSAR%y22@a%Xv^(eB6 zzel_o?Df?_%&sq2PC`@_%N1@KB)b<`Gg}D709^S#<;5?yPzaSndGS{&n&>KgYN1-e zhXK;Ho%+pf9*O3`y|f+gGqV-)RBP2R2N;eLy$lbemyr4 z!#ip4VF5}u>*Ltbe#p}nrH2|s&W2zJz~@3KNbR6|&1ZzwayXdmT%CJZp)WzSPm=-; zn@W0>tzBzvNG_(t#2J|49RAMp=-nU$@n#Xm4$Bo59lYaIGI?@Ws*ukE+~m@hiKx-0 z=!&HvJ~)sxMrYM9l{B&`tr2%9{^xvCSgrXheJmwKgOMM{HK05`oK(YUTcPOkY%%s& z;Jx5n$7ltVQr7A40iIJ#>*Etz7Y!HU5u@~8&Rwh4;iyHL$J*{)r=^D=Cx zY%l#{be}1C5J2Z?M%6B);y2lo9&N=T8bP>TqP7ui!%^=tn*x|1jy7m(08=i2_l(4@ zp#|*1ayV4-WO-|2$z(T_oG(^IMVMVS1>+}4X~`kB*QX_WYs|I~!``&C?kxZP%d!Fk zyRx+mpwWk~JY(D79LWX(nAKl1rptq@%ony@_Gaea#mAt*k+rs&@cI$YSD-| zME}su%c@rYBC46`6DCva1fG^{+89lr`(4W0-xpca`w%|mHG|N7o6S%agEUjU07Jl+ zV7Y+zB9Wja1V=2ykx|cU3cx z-ImPJ@NbN(=K=iNX1MR!Cb+AgpxuVv2qk|GC&vs0`?IBh9hLkxM9^KqT2x~vr4AQ8 z?H28-rijW#Gw4uT-0%N*S=Gu0-7S@vKZwgTbyjfp$XYAIiEcc8M+t|K9+IHSboV%&>%XGs7j#yW(Oag+Qd#YWbR(BAa;w2Rz^y>Td&z*yV}p&j*$)ix^G z#B@X7vkic03Sytu(vcT`*-aa=!)4VY@1h)vvb2yARifFQ@HfkTwhgs}jf z{n^o{(Kf-jJO4xzjlqc}tPAA<1}k{-02gNmik#z!!xCDX5Nj~;IKap`>%$&j z{tC8$Gsc52>`J>GRbvwkW>PmY7WNU9JetM9a+XXb*Jf+%&X$ybi5$4ty+@HQsdPOgV*NCE#M#xA8a@p|<;)Yns4dH3sSaoQ@HfX@*tbk-g`=5celZc*a)VK& z37iLit|``>ng*lkrs!xUvJ{a%WFjBAd?CZA8iM&I&)WMY?nn|-Ap6>E( z_Tl6_HIH889?f?1VDuy|hb`{kQ?XX^JorrKdTR-Xke}TxXTfNCe0geiw24bSE#Rpv zIZMq#u}?kQvv9U+$(zOIC2wsDPAbjOiwvRmuGG*y)Uv(s+GT26HH&Odb{%L@E3$11 z%4BK*o9Pt1sX0$}8EXOO!MGWmtL2-cr_*5G^<{~9&}CuX4wi9?erdg{&a+>?EbBbM zTFcp<+h4>!XU(_8y0)>4vzF)eZOdqhtYl%^65pNRSRaP9x#l$!e;~MCMy(R_dCn}+ zfXwvx*|nRC>#A?REDXbXCSCZ<)f)#);p^26w0JxOkaY0Iitc*b_=FA~;l-sA65jyD-cs_$m$c%$b*&xH`U}$M5R* zX3D&#KY$1C+PD5~g=qTBE5o^04B#mo0OWd%GiA3uJaX{)vy9<9HSuA&VmnH&t|H$DT4SPoD1y+H_zY{uE&NQ?u>D71~Vy)8ic2WNfbj3G6YQGC=Q~hd$T*m zBjgcHn*;@9mS~4R0=xQ68sQf05^v2|AF43NJ?q0OSgkp=eY!{FbDo~=Jgko6UrlPc zl+vUfZgWYkp{(SF=$xFiz1S4Hvca~gu6bY{HuB^-`!kMPeA{~FZ_mk&i1^p`w~Ou!c(@YEo?7ecHlF$0 z(PbgjXYQ-Vq8ck2T(4=}*M_>AeRcEZjqfbXejNAdKC));sNNZ$XSre7X3=CLTo`U{ z6KPHAscE=i(|x+7mAlEk=LCAzP>nqN7Jr7m3T{e+!Ef*gfPyB^8@`dSp>!fmim6tR z7pGM*y40-g^#n8H!<$VBAB(4;KLHV&H=yM7!8d{xyfFTV*@u@-yW~M7Flz6+ z)J7DAlG`}CYbNKzww^Z)F1&4JBS&BVg~hk6Jdfz!wXvSZm7CMTyJL&{mVT2?@~e=> z5#CAq0GujaK>LB5P;-Gru&H;#Jx^1Z@Ni_vk%#Wk=5uZCm*#^mHvSiuZ5B=ae5w2D zwQLtxPwn@q|E{p}bsoapraUh|m{{B3h}05ZDh5iYNGiH}M$p4Uu1v4kpm}%-LmQ7x-H|b*;z?pV*H9};uGhI8xFs&n+Y)X5N3{HHi%2}EvuzQ? zZ1$~-07%y=wi%eHBWJ@lFo||mb`*3jXqF^s6j>hyUuY&F7*f+-kl+Yf8q7Gtc>FcF z)KHQcN=t46gn(;|%Inq`$Mzrn7t5~}yONqAV}Lb+SJs3XP_={wV3}97Sab=4SDRW# z*7+*f)PGVvihNlxYL1;ZR3BL6kopi0Ma3?UKD2#a$wSNCnrr=Ct80{jv0BZ!nr{i7 ze0*3hqN&)$ieAs&;%h3cC5;{Y;i;=jtu_bPC?Jwsf^9M!B+53WyQYwE;0u4W>eZTu z!4A;ek$a?hs&`1y*M51$sa0&``L1wO(b~vg(BE(ki0Z3~y4rQCoPsIGdG*Ut0mWTF zar)MEjGPz}mkaHti)`8VT-$1Qj9|()$Jc`O=RR>WA`jX0 zX%94>A+4hed#kIxKFkuLmR8V;pA)8^i-mPjkmBZ~9y}t?dvN5U5O4my zr$F0U)R7(%C>v6QyzY=n=xC;wAEjz_l+dmgw%VQ(c9o13U%0BZ;w5URpsLkC#)|jm zYIXX~V8tK!YOJ9A+Mn~WmaPvmU-JC%dBzGsF3Nw3AI9?4blDMaETzqLVFF?;xaNjWk8SWB6wrW`@ZP&|g^u74xod99So;xNc+3bf zno?T{-_{f{MWIIgXj8I8;FixnRn>At=XmY8k*8qF{=7Y5<3slpZsvJXoTV=JZcyhF zE;r>%u$PYsmr#UeT{Z?(t!1OS1BO(s4v@HPMXU<77JkpsIMw2yyfsXvC z{o_@jmK3MU(Og)^cGvH&T($D&2-W5z+8?IsHOBS(bbTkJG`aL4U!IyLWv~BR!FlqW zJxw6I3$gjc#g>a)OEAk`ol{IFBK|0wMYG~gBOF{_C)a$SEkPuv^D>%I6xtM`T2o^m zqHWkNVng#7o5ne=Ia5n8O>7(4oR1%}r>9M8C9l*NH0SHz#JQQSh&5NQdAFR~C zuI6KT4lx_c#zDZpp|d{^!q7@eUKP&?R}x{Oby2lM>1P^OwU~s7!gICqr#zySQR53U zuyW@UE?n<@LFnqJC-G;!CGo#mTvoheA((5!FQXBm0y0|6R!N4 zF0O`&UmPx=TEVdk(b*>PnP)WHHwCk2!_7(E4&C}!+P1pQap%f^sRck(GR${!WwGvgCuTHAge9WxG z_*|_yuftbjWuNYKvU_Lr$Z)x^)mlvqtfso=ZA<49t^(Dba`Oq7h4b^4#Y!6^AN>b?^3;Zw6&jWIge$aqTCFAq zRIMgD78ekEVX^Tk`?a*i5x=@n+O}4cUaFR=wVD`MO?B0_rE0Av23AvDwQZ?dtBHZt zR99_Vt5Gen+w;{#mULZpKB2S%Ec@CMvgi^9t6IVWu*|DkEV_ijs+O<-Ec2=si!NcX zswFG{%e<<^qDvU8Y6%O#GM}rZ^9fnB$$(e?e8L6S2`m^>U5MCx<-vU4f-Q#du&K1f zr@=I}ZBn-)ANj!3c|PGmo{rj-^|(Fx^F+4?cP94q)js=kLC*RX`E8R0m+jv^57P@~ znkUZ^qbEMM%G=gKn#Y1-8k?~<&s94w+bxmgj%9!8QL9|7jxn(s3d+ZXtD&x1M^$Sz zodc?ts~_n4 zoTnZA6lz;``T1L3i*NbJQ%J4lrB1-wQ>rHv;BR?Ns#?p-_tLDXT1(?^d2_2;%gguD ztf^W{<8OI$t6Iy;_tLDXT1(?^d2_2;%gguDtmSIye8Q#ixGcGKKH;*^+$ke@+v-$# zT240^P_??rZl}vuwK~mcH_KDCy2);*%T~2I&1g5vQ?P=s&97U_Xj0IW6SUn4)AohhwmQM%@|5xPYFo?0Y4@zCTHWKe^ChcVo#(WB zC8}E8#4BaiZtksD7 z?31fWt<}hIC+oZ-Mj4$vpr>?Ms@7_fSJhIrRucoOsjk|#RISy-z-p>%-nJHTC$pLk z>#14S6)>NWHBE00c)VeXV1;|i4L20m;3cW^qN>fhHw0TUG~>Dy`ra!4%4a#J75rE( zN2R5F1a~QUxfRXv-};#Jvy9QaDvQ}K3}!!?wso5gs#9cMl&>Sm*ATQ6US{|6ek0Gu8uHeRck(GR${!WwGvgCuTJ7>ZJ)1Z8b6nTk3W|VfBote zpw_{wsffUU_?R%%!mApKV&H$gc_p+hyQsP21#{P^~tfaQUA+@#f<-OdB*s5L;*>x0Y&$DU|>XE(9y}h+5v^{v1iEHbFO|_S4LI-iFjJd+p!7RBDvr4*Ae5a?W#h`4aI-fQH#*As99k-H_Mjvk`-SJ|ser zD0s49f3vAtA5_WnX1SJS_x%4z)tcw%m!%GiF;ZixEx2u}Zwu{QxZ-b_t^`9L$)WhUbK} zcU3K@EV$pqel}0461cH7?K8!RU3sdZ)Dvo`&e; z-nIf6`)fyjkhX&tSZ-eq9w%_i-K+CisxzD5Ti`VGNX)@mo)x~^|AXakTjdr`=%&fl z;%v>=)>B2St)Q!{))bT2FTu?qoZ5 z*gh*M-_Kj{lu7*bys0ZN!M^jU`~9s-%6Dr^UV$nsx=PpFB7WUkbX^sg&rn+tOxOi$ z!AO3PHnNm0=MK93a+_X zTCHTn_4O?F26SQY!l^9`v%oERrGno-Tv)A=*%p^~G{3c)myCtM3#Yae$^y6K6$`%M ztW>Smd!S{kkgq1?ML$zm7+gzTYs~b)4xt7<7;wd;+kd-NxNWT%Dpo^9R@4Z7H4r9R zM^#Idex`9%i%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+ zm?&J;5~ZJMT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9lzyghRf|cOC|uPN zrJreB)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e#pJ`mx zViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5 zSGAagiNaMaQTmz2RV^lAqHtA9lzyghRf|cOC|uPNrJreB)nXDR3Rks6>1P^OwU~s7 z!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQ zVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9 zlzyghRf|cOC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9% zi%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+m?&J;5~ZJM zT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9lzyghRf|cOC|uPNrJreB)nXDR z3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e#pJ`mxViG0_SG7dx zXBt8dtTL zgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAagiNaMa zQTmz2RV^lAqHtA9lzyghRf|cOC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6 zEhb^2a8*l`ex`9%i%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5 zaaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9lzyghRf|cO zC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e# zpJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-z zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9lzyghRf|cOC|uPNrJreB)nXDR3Rks6>1P^O zwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ z##JpQVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lA zqHtA9lzyghRf|cOC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l` zex`9%i%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+m?&J; z5~ZJMT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9lzyghRf|cOC|uPNrJreB z)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e#pJ`mxViG0_ zSG7dxXBt z8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAag ziNaMaQTmz2RV^lAqHtA9lzyghRf|cOC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!c{F% z`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzV zOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9lzygh zRf|cOC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$ zT-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{ zCJI-zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9lzyghRf|cOC|uPNrJreB)nXDR3Rks6 z>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mc zEm8WJ##JpQVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAagiNaMaQTmz2 zRV^lAqHtA9lzyghRf|cOC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2 za8*l`ex`9%i%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+ zm?&J;5~ZJMT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9lzyghRf|cOC|uPN zrJreB)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e#pJ`mx zViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5 zSGAagiNaMaQTmz2RV^lAqHtA9lzyghRf|cOC|uPNrJreB)nXDR3Rks6>1P^OwU~s7 z!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQ zVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9 zlzyghRf|cOC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9% zi%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+m?&J;5~ZJM zT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9lzyghRf|cOC|uPNrJreB)nXDR z3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e#pJ`mxViG0_SG7dx zXBt8dtTL zgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAagiNaMa zQTmz2RV^lAqHtA9lzyghRf|cOC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6 zEhb^2a8*l`ex`9%i%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5 zaaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9lzyghRf|cO zC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e# zpJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-z zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9lzyghRf|cOC|uPNrJreB)nXDR3Rks6>1P^O zwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ z##JpQVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lA zqHtA9lzyghRf|cOC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l` zex`9%i%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+m?&J; z5~ZJMT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9lzyghRf|cOC|uPNrJreB z)nXDR3Rks6>1P^OwU~s7!c{F%`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e#pJ`mxViG0_ zSG7dxXBt z8dtTLgo(mcEm8WJ##JpQVWMzVOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAag ziNaMaQTmz2RV^lAqHtA9lzyghRf|cOC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!c{F% z`kBU6Ehb^2a8*l`ex`9%i%FO$T-6e#pJ`mxViG0_SG7dxXBt8dtTLgo(mcEm8WJ##JpQVWMzV zOO$@5aaD^+m?&J;5~ZJMT-9O{CJI-zMCoT5SGAagiNaMaQTmz2RV^lAqHtA9lzygh zRf|cOC|uPNrJreB)nXDR3Rks6>1P^OwU~s7!gI9_%yB2Zc}=L<*>B8~N(krv0|)+p Doa=dh diff --git a/impl/pnr/ao_0.fs b/impl/pnr/ao_0.fs index 2d92376..f68bdbe 100644 --- a/impl/pnr/ao_0.fs +++ b/impl/pnr/ao_0.fs @@ -7,8 +7,8 @@ //Part Number: GW2AR-LV18QN88PFC9/I8 //Device-package: GW2AR-18C-QFN88PF //BackgroundProgramming: OFF -//CheckSum: 0x5F71 -//UserCode: 0x00005F71 +//CheckSum: 0xEDD0 +//UserCode: 0x0000EDD0 //LoadingRate: 2.500MHz //CRCCheck: ON //Compress: OFF @@ -18,7 +18,7 @@ //JTAGAsRegularIO: OFF //GAOCRC: 0101100011000111 //MultiBootSPIAddr: 0x00000000 -//Created Time: Sat Jul 13 06:44:55 2024 +//Created Time: Sat Jul 13 08:05:27 2024 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 1111111111111111 1010010111000011 @@ -456,9 +456,9 @@ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000110011100000111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001011101011011011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011001001101111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011001001101111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 @@ -489,8 +489,8 @@ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000111101011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010101000101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101000111001111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 @@ -647,172 +647,172 @@ 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110110000001111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110001100011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101011001001111111111111111111111111111111111111111111111111 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100011010101111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110101100100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000110011100000111111111111111111111111111111111111111111111111 +00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110011000001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000010010000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001001101011111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001001001101010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100110000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001110001111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100011010101111111111111111111111111111111111111111111111111 +00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110101100101111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110110000001111111111111111111111111111111111111111111111111 00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110100111000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110110001001101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000110000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101101001010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000110000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000100011111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100011111110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010111001110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000010110100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100011001011111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100100101101111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001011101101110111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000110011100000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100101110110111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001101100000001011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011010001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100100110001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101010011101111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110101111110011111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110110001001101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000010001000000000000000100000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000110000000110000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000100000000000000000000000000110001111000000000001000000000000000000000000000000110000000000001111000000000000000000000000000000000110000000000000000110000100000000000000000000000000100000000000000000000000000000001111000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000100000110000000000110000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000001111000000000000000110000000000000000000000000000000000000001100000000000000000110000000000000000000000000000000000000001111000000001111001110000110000000000000000000000000000000001001000000010000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010100011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000010000000000000000000000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110110000111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000100001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000100000000000000000000001010000001000000000000000000000000000000000000000000001000000000010100000000000000000000000000000000000000100000000000000001010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010000000100000000001001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000010001000000000000000010000000000000000000000000000000000000010010000000000000001001000000000000000000000000000000000000000001000000100000000010010000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101010011000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000010000000000000000000000000000000100000000001000000000000000000000000000000000000000100000000000000000100000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000001000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000001000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011000101010111111111111111111111111111111111111111111111111 -00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001111000000000000000000101000000000001111000000000000000100000011011000000000010000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000100001111000000000110000000001000000000001111000000000000000100000011111100000000000000000000000000000001000000000000000000000000000000000010000000000000000000000000000000000000000100001111100000000110110000000000000000001111000000000000000100000011000000011000000000110000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000100001111000000000110000000000000000000001111000000000000000100000011000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100011011011110111111111111111111111111111111111111111111111111 -00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000000000000000000000000000000001011000000000000000010001000100100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000111000000010000000000000000000000001011000000000000000010001000110000000000000000000000000000000100000000000000000000000000000000100000000000000000000000000000000000000000000000000111000000010001000000000000000000001011000000000000000010001000000000001000000000001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000111000000100000000000000000000000001011000000000000000010001000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001101010100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000001000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000000000000000000000001000000000000000000001000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000001000000000000000000000000000000001000000000000000000001000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001110010111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101001001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000001100000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000010000000000000000000000000000000000000010000000000000000000010010000000000000000000000000000000000010000000000100100000000000000000100100000000000000000011011000000000000000000000000000000111000000000000000000000000000010000000000111100000000000001111011000000000000000000000000010000000000101000000011111000000100100000000000000000011011000000000000000000000000000000111000000000000000000000000000000000000000000000000010010000111011000000000000000000000000011111000000100000000000000000000100100000000000000000011111010010000000000000000000000001110000000000000000000000000000000000000000000000000010010001111111100000000000000000000000000000000000010100000000000000000100100000000000000000011011010010000000001000000000000000111000000000000000000000000000000000000000000000000001101011111011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111001000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000100101000000000000000000000000000000000000000001000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000100001000010000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001001000010000000000000000000000000000000000001000000000000000000000000000000000000000010010000000000000000000000000000100001000000000000000000000000000000000000000000000000000000010100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111101010111011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000100000000000000000000000000000000000000100000000000000000001010000000000000000000000000000000000001000000000010000000000000000000000110000000000000000001100100000000000000000000000000000000000000000000000000000000000000100000000011000000000000001000000000000000000000000000000001000000000011000000001010000000000110000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000001010010000000000000000000000000000000001010000000010000000000000000000000110000000000000000001000001010000000000000000000000000100000000000000000000000000000000000000000000000000001001001000010000000000000000000000000000000000000000000000000000000000000110000000000000000000010101010000000100000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000110000000010000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000001000000000000000000000000000000000000000000000000001000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000100000100010000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010001001101111111111111111111111111111111111111111111111111 -00000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000011011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000100100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000101111111111111111111111111111111111111111111111111111 -00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111000110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000010011000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000010000000000000000000000000000000000000000000000000000000000000010000000000000000000000000100100000000000000000000000111010010001100000000000000001100000000000000000000000000000111100000000101000000000000001111000100110000000001111000001011110000001111000000000000000000000000000000000000000000001111100000001100000000000000001100100100000000000000000000000111100010001110000000000000001011000000111100000001111000001111110010001111000000000000000000000100000011111000000000000001100110001100000000000000001100000000000000000000000000001111110010001110000000000000001111000100110000000001101000000011100000001111000000000000000000000000000000000000000000000110100000001100000000000000001100100100000000000000000000000111100000011110000000000000001111000000111100000011111000000111100000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010110101110110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000010000000000000000000000000000000000000000000000001010000010010000000000000010001000001000000000100001000000100000000001001000000000000000000000000000000000000000000000000010000000010000000000000000100000000000000000000000000000101000000000101000000000000010001000001000000000001000000000100000000010100000000000000000000000000000000000000000000000001100000000010000000000000000000000000000000000000000000001000000000000101000000000000010001000001000000000010000000000101000000100001000000000000000000000000000000000000000000000110000000000010000000000000000000000000000000000000000000000010010000000010000000000000100100000001000000000001000000000000110000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100101000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000001000100000100000000000000010010000000000000000000000000000010000000000000000000000000011000000000100000000011000000000010000100000100000000000000000000000000000000000000000000000100000000000100000000000000000100010000000000000000000000000010000000000010000000000000000100000001100000000011001000000000001001000010000000000000000000000000000001001100000000000000000001100100000000000000001000000000000000000000000000000010001001001000000000000000010010000000100000000001000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000100010000000000000000000000000001000000000010000000000000000100000001100000000011000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000110111001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000000100000000000000000000000000000000000000000000000000001000000000000000000000000000000001000000000000000000000000000010010000000000000000000000000000000001000000000000000001000010000010000000000000000000000000100000000000000000000000000000000000000000000000000100000000000000000000000000000000000010000000000000000000000000000000100000000000100000000001000000000100000000000000000000000000000000000000000000000000000010000000000000000000000000001001000000000000000000000000010000000000000000000000000000100000000001000000000000000000010000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110110010110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000110000000000010000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000110110000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000100000000110000000000000000110000000000000000000110000000000001111000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000100000000000000000000000001111000000000000000000000000110000000000000110000000000000001001000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111000000000000000000000110000000000000000000000000000000001111000000010000000000000000000100000000000000000000000000001100000000000000000000000110000000000000000000000000000000001111000000000000000000000110000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110101111010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000010000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000001000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000011010000000001000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000011010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101000001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000101000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001000000000000000000000001000000000000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000001000000000000000000000000010000000000010000000000000000000010000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000000010000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000101011000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000000000000010000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111010101101010111111111111111111111111111111111111111111111111 +00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001111000000000000000000111000000000001111000000000000000100000011100100010100000000110000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000100001111000000000110000000011000000000001111000000000000000100000011100100000010000000001100000010000001000000000000000000000000000000000000000000000000000000000000000000000000000100001111000000011000000001111100000000001111000000000000000100000011000000000000000000110000000000000001000000000000000000000000000000000010000000100000000000000000000000000000000100001111000000011000000000110000000000001111000000000000000100000011000000000000000000010000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110110101111111111111111111111111111111111111111111111111 +00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000000000000000000000000000000001011000000000000000010001000001000000000000000010000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000111000000010000000000000000000000001011000000000000000010001000001001000000000000100000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000111000000000000000001010000000000001011000000000000000010001000000000000000000000010000000000000100000000000000000000000000000000010000000000000000000000000000000000000000000000000111000000000000000000000000000000001011000000000000000010001000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101111111000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000001001000000000000001000000000000000000001000001000010000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000001000000000000000001000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000100000000001000000000000001000000000000000000001000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000010000000000000000100100000000001000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001100010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000001000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000100000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011100000000011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000001100000000000001100000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100100000000000000000010011100000000000000000000000000011111000000000000000000000000000000000000000100100000000000000101111000000000000000000000000011101000000010100000001100000000100100000000000000000001001011111000000000000000001000001110011000000000000000000000000010000000000000100000000000000110011000000000000000000000000000000000000000100000011001000000100100000000000000000001011110000000000000000000000010001111000000000000000000000000000010000000000110000000000000001111111100000000000000000000000000000000000100100000000000000000100100000000000000000001011100100000000000000000000000001111000000000000000000000000000000000000000000000000000000001101011000000000000000000000000001101000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000010101000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000010000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000000000000000001000000000001000000000000000000000000000010010000000000000000000000000001000011101000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000001000000000000000000000000000000000000000000000010000000000100011000010000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000010001000000000000000000000000000000000000000000000000000000010100000010000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000100000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000110000000000000000000100000000000000000000000000000011000000000000000000000000000000000000000000010000000000000010000000100000000000000000000000000100000000000000000000000000000000110000000000000000000000001010000000000000000000000000100000000000000000000000000000000100000000000000000000000010001000000000000000000000000000000000000000000000000000100000000000110000000000000000010000000100000000000000000000010011000000000000000000000000000000000100000000000100000000000000100010000000000000000000000000000000000000010000000000000000000000110000000000000000010000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100110110111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000010000000000000000000000000001000000000100000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000010000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000100000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000100001111111111111111111111111111111111111111111111111 +00000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001011000000000000000000000000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001101101001111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011010001000111111111111111111111111111111111111111111111111 +00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111010110001001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101111000010001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000001101100000001100000000000000000100000000000000000000000000100111000000010111000000000000011111000000111100000001110000000011100000001011000000011101000000011000000010010000000000000111111000001100000000000000001100111100000000000000000000000101110100000111000000000000001101010000111111000001101000000011100000001111000000000000000000000000000000000000000000000111100000001100000000000000000100000000000000000000000000000111100000000101000000011111011111100000111100000001111000000011100000001111000000000000000000000000000010010000000000000101100000000100000000000000000100001100000000000000000000000101100000001101000000000000011111000000111100000001110000000011101000001111000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010011000001111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000100000000000000000000000000001000000000010000000000000000010100000001000000000000010000000110000000001000000000000000000000101000000000000000000000000000110001000000000000000000000100001000000000000000000000000000100000100100000000000000010100000011000000001010000000000101000000001100000000000000000000000000000000000000000000000001110000000000000000000000000100000000000000000000000000000101000000010000000000000000100100000001000000000001000000000101000000100100000000000000000000000000000000000000000000000000000000000100000000000000000100000000000000000000000000000000000000010100000000000000010100000001000000000000000000000000010001001100000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111011111011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000100000000110000000000101000000000000000001000000000001000000000000000000001010000000000000001000100001000000000000000000100011000000000000000000000000000000100100000000000000000000100000001100000100001000000000000000000000010000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000000000000000000000000000001001100100000100110000000001000000000000000000000010000000000000000000000000000001010000000000000000100000000000000000000000000000000010000000000000000000000001000000000100000000000000000100000001100000000001001000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001011100010111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000100010010000100000000000000000000000000000010000000000000000000010000000000100000000000001000000000000000000000000000000000010000000100000000000000000000000100000000000000000000000000000110000000100000000000000000000000000001000000100000000000100000000010000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000001000010000100000000000000000000000000000010000000110000000000100000000010000000000000000000000000000000000000000000000001000010000000000000000000000000000000010000000000000000000000001100000000000000000000000000000000000001000000100000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010011011000011111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110011010010001111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000001101110000000000000000000000000000000000000000000001000001001110000010000000101111111101000000010000011100100000100000000000000000000000000000000000000000000011101100001000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000001000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000001000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000001000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000001000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100011111010011111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000100000100000001010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000001111111000000000000000000000000000000000000000000000000000000000000000000100001110011100001000000000000000000000000000000000000000000000000000000000000000000111111111000010000001110000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000110000110000000000000000000000000000000000000000000001001000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000110000110000000000000000000000000000000000000000000001001000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000110000110000000000000000000000000000000000000000000001001000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000110000110000000000000000000000000000000000000000000001001000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111000010010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110110011110111100000000000000000001000011001100011000000000000000000000000000000000000100000000110000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000100000000000000000000000000000000000000000000000100000000000110000000000000000000110000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000110100000000000110000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001110000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000011001000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000101001010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000100000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111110010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000110000000100000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000010000000000000000000000000000000000000000000000010000000000100000000000000000000010000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000011000101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010001100011000000000000000000001000011001100011000000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000100000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010100100010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001100000000011000000011000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001101010111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000010100000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001010100110001010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111010111111101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101111100110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010011000011100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110011001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001101100000110100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000011010000000000000000000000000000000000000000000001000000000000000000001100000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000001101100000011000000000000000000000000000000000000000000000000000000000000000000000000000000011010000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000011000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001100010010111111111111111111111111111111111111111111111111 -00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000001000000000000000000011000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000010000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001110010011001010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101000111000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000011000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010111101011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110100000111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111000111010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100101000010110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001111100011011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001001111010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001111000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100110111000111111111111111111111111111111111111111111111111 -00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001100011000010100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000000000000000000100000000000000000000000000000000000000000110000000000000000100000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000100000000000000000000000000110000000000000000000000000000000110000000110000000000000000100000000000000000000000000000000100000000110000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000110000000000000000000000000000000000000000001100000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101101111011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000001010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000000000000000000100000000000000000000000000000000000000001000000000000000000100000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000100000000000000000000000010000000000000000000000000000000010000000001000000000000000010000000000000000000000000000000000100000001000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000100000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000111011110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011100101010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110111111110111100000000000000000000000000001000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000000000000000000110000000000000000110000000000000000000000000000000001100000001100000000000000000000000000000000011001000000000000000000110000000000000000000000000000000000011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010010101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000101000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000001000000000110000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100110111100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000110000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011001100011000000000000000000000000000001000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100110010101010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000011000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101111110101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000010100011110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110101101010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111000011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000011000000000000000000011000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001001010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110110111010010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111100001100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001001101001111101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000011000000000000000000001001000000000000000000000000000000000000000000000000000000000000000100000000000000000001101000000000000000000100000000000000000000000000000000000000000000000001000000000000000000001100001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000001100000000010000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000001100001101000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001111110100111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000101101001011000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110010011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000100110100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110011000000000000011000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000010000000000001000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011011110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001010000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001111001100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001010111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000011110011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100010010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001111010101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111011101111000111111111111111111111111111111111111111111111111 +00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110110001001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000100110000000110000000000000000100000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000100000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110111101100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100110110110111111111111111111111111111111111111111111111111 +00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010010000000001000000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000100000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111010000110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100111001001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101110101101111111111111111111111111111111111111111111111111 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110110000001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000111011101111111111111111111111111111111111111111111111111 -00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100010101111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101111001001101111111111111111111111111111111111111111111111111 -00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001111111000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000011010000000000000000011000000000000000000000100001101100000011010000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000100000000011010000001101100000011010000001100000000000100001000000000000000000001000000000000000000000000000000000100000000000000000000000000000000000000000000001101000000000100000000000000000000000001000000000001000000001100000000001101000100000000000000000001100000000000000000000000000000001100000000000000000000000000000000000000000000000000000000001100001100000000000000000001101000000011000000000000000000001101001101000000011010000000000000000001000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110100100010111111111111111111111111111111111111111111111111 -00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000101000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000010000000001000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000011000000000000000000000000000000000000011000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000011000011000000000000000000000000000001000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000111001111011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111110011010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000001000000000001000000000000000000000000000000000000000010000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000001000001000000000000000000000000000010000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111010010001001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000010000000000000000000000000000100000000000000000011010000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000011010000000000000000000000000000000000000000000000000000000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100001000110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010110111111111111111111111111111111111111111111111111 -00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001001010010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000010000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011001111011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101011000101110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000001101000000000000000000000000000000000010000000000000000000010010000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110111100100111111111111111111111111111111111111111111111111 +00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110001010011100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010011101010000111111111111111111111111111111111111111111111111 +00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001101000000000000000000000000000000000000100000000000000000001001000000011010000000000000000000100000000000000011010000000000000000011000000000000000000001100001101000000000000000000000000000011000000000000000000000100001101100000000000000000000000000011000000001101000000000000000000000000000000000001100000000000000000000000000000000000000100000000000000000001101100000000000000000000000000000000001000000000011000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001000000000000000000001000000000000000000000000000000000000001101000000000000000001100000000001000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110010000111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000010000000000000000001000000000000000000000011000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001001001111001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111001111101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000000000000000000000001000000000000000000000000000000010000000000000000000001000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110110101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110101110100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101100000101111111111111111111111111111111111111111111111111 +00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111100110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000010000111111111111111111111111111111111111111111111111111 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110110000001111111111111111111111111111111111111111111111111 00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110100111000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110110001001101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000000000000000110000011001000000000000000000110000000110000000000000000000110000011001000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000000000000000110000011001000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000000000000000100000011001000000000000000000000000000110000000000000000000000000001000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110001100010111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000100000000000110000011001000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110001010110111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000001000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000100000001000000000000000000000010000000000100000000000000000100000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000100000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011010100101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001101100000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110110101100111111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011011101000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110000100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001010101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111010101010010111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110010000101000111111111111111111111111111111111111111111111111 -00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000011110110101000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100000111100111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100000001010001111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110011100001011111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100110110110111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111111101110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001001101000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110110001001101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000011000000000000110011000000000000000000000000000000011000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000011000000011000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001101001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000001000000000000000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000111110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011110000011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000000000100010000000000000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000010000000010000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110110100010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110110001001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000011000000000000100000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000110000000000000000000000000000011000000011000110011000000000000000000000000000000000000000011000000011000000000000000000000000000000000000000000000000010000000000000000000000000011000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000010000000011000000011000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111110101010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000001000000001000000000010000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000000001000000000000000000000000001000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000010000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010100101101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100111110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010010000010000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000010000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011101111100111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100010101011111111111111111111111111111111111111111111111111 -00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001110101010001110111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000001000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000011010000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110001101011111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001100110101111000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110010011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011110101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011010111100111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110111111010111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110110001001101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000011000000011000000011000000000000000110000000000000011000000011000000000000000000000000000000000100000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111000000011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000010000001000000000000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001011011000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001110000100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000010000000010000000000000100000000000000000010000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000110101011111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 @@ -833,34 +833,34 @@ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110110001001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000011000100000000000000000000000000011000000011000000011000000000000000000000000000000000000000011000000011000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000001000000001000000001000000000000000000000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010100110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111001111111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000010000000000000000000000000000000010000000010000000010000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101101110110111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100010110101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100011101101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001011010101110111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011101010000111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000110000011100111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101010011111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100101101110011111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000110011100000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010000111100111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110110001001101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001110000000000000000000011000000000000000000000000000000000000000000000000000000000011000000000000000000001100000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000011011011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000010000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111011010001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000100000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010101101100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000001000000000100000000000110000000110000000000011000100000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000011000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000011000000000000000000000000000000000000000011000000000000000000000000011000000000000000000110000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000010111011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000001000000000001000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000001100000000000000000000000001100000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001100011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000010000000001000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010101111111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000010000000000000000000010000000000000000000000010000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010100100111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 @@ -873,336 +873,336 @@ 00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000110011100000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110110001001101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000011000000000000110000000000000000000000000000000000010000110000000110010000110000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000010000000000000000010001000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000110000010000000000000000000110110000000000000000000000001110000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000011000000000110000000000000000000000000000000000000000000110011001000011001000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000110000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000001100000000000000000011001000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110100001010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000010000000000001000010000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000010000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110000000000000000000000100000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001110010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000010000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000001000101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000010000000000000100000000000000000000000000000000000000010000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000100000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010011100110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111011001110011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000110010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010011000101110111111111111111111111111111111111111111111111111 -00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001110010000100111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100001011011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000001010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000101001010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000001111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110110001001101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000110000011110000000000000000000000000000010010000010000000000000000000000000000000000000000000000000000000000011000000000000001100000110000000000000000000000000000000000000000000011110000000000000000000000000000000110000011000000000110000010000000011010000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000010000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000100111101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000010000000010110000000000000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000110000000000110000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000110000010000000000010000000110000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010011000011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000001000000000000000000000000000000000100000000000000001000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110001000101001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110011000000000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000010010101010010101000000000000000000011111111011111011000000011110111111110111100000000000000000000000000001100011000000011000001000000000000000000001100011001100011000000000000000011001001100000100000100000001100011000000000000000000000000010010100110010001000000110000000110000000000001100011000000011110111011010111100000110001100011000000000011111011000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000011110001111000111100000000000000000000000000001100001000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110000110000000000000000000000000000000000000000000000000110001100000000000000000000000001100000011100011111100011100000001100000000011111011011101011001111001010101010011111101100011011111011011111011011111011001111011100101100110001111111011011111011011111011000000000001111000000000100000100000000000001100011000000000001000000000000011111111011110111101100011011100011001100011001100011001111011110010111110001101100011001100011001100011001100011001111011110111111110111100000000000100000011000000001000011000000011001000000000000011000000000000000000000000011001000000000000000000000000000001000000000000001100000000011000000000000000000000000000000000000000000000110000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110001000000000000010001000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001100000110000000000000000000000000000000000000000000000110001111110111100000000000000000001100010001100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000101101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100001000000000000000000000000000000001000000000000000001000000101000000100000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000010010000010000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000101000000100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000000000010001000000000000000000000000000010000000010011101000010010000000010000000000000000000010000000010000000000100000000101100000000000000000000000000000000000010000011100000100000100100000000000000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000100000001000000100000000000010000000000000000000000000001001001000011001100000000000000000000000000000000000000000001001000010001000000100000000010000000001000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000100000000000110000000000001000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010000010010000000000000000000010100001010100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010110110110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000110000000100000000000000000000110010000111000000000010000000110000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000100000000001000000000000000000000000000000000000000000001000001001000100000001001000001000100000000000000100000000001001010000100001000000000100000000000000000000111000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000010000100000000100100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001000100000000000000000000000000000000000000000000000000100000000000000000000000000000100000000000000000000000000000000100000000000000111000000001000010100000100010000100010000000100000111000000111000000111000010100000000000110000000000111000000111000000111000000000000010100000000000000000000100000000000000000000000000000000000000000010000000110000000100000000000000000000000000000000000000001010000000000100000000000000000000000000000000000000000001001010000000110000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000100000000000000000000000000000000000000000001000100001000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011000101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000010100000010100000000001100011001100011000000000000000000000000000001100011000000001000000000000000000000000001100011010001000100000000000000000000000000000000000100000001100011000000000000000000000000000000000000000000000000000000000000000000000010001000100000001100001001000011000000010001100011000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000001000100000000000000000000000000010001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000001000000001100001100000000000000000000010100000010100001000001000000000000000010001000100010100000010100000010100001000000000001000100000000010100000010100000010100000000000001000000000000000000000000000000001100011000000000001000000000000000100011001100011001100011001100011001100011001100011000110001100000101000000001100011001100011001100011001100011000001001100110001100011000000000000000000000010000001000011000000000001000000000000000010000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000010000000010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010101011110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000110000000011000001110111100000100000000000000001100000000000100000000011000000000000000001000000110000000000000001000000110000001000000011100010000000100000000101000000000001000000000000000100100001110011000001000000110000000000000000000000110000000110110001111001000011000000000000011000000001000000110000001101100011000001000111100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000011000000000011000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100010000000000011100000000000000000000000000000000000000111100000000100100110000000000000000000000000000000110000000111100011110000000110000000000001111000000001000000110001111000100001100010000111000000010101001000000001000000110001111111100001001011001111000000011101111000000001000000000001000000000000000000000000000000100000000000000001000000110001000001100000100010000011011000010000110000000001000000110001010001100011000011000011010011100000110000000000000000100000000000000000000000000011000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000010011000010000000000000000000000000000000000000000011000000000000000000000000000100000000000000000000100000000001000101100000001101000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000110000000100010010110000101000000000000000000000100000000000010000000100000000000000000000100000000000000000000000000000110000000000000000000000001000000000000100000000000000000000000000000000000100010001000000000000001000000000000000000000110000000000000100100010000000000000000010000000000000000000110000000000100000000000000110100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000011010000000000000000000000001000000000000000110001000000000000100000000000000000000110000000000000000000110001000000000000100100000000000000100100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001100110001000000000000000000000000010000000000000000001000001100000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000100000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000010000000000100000100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001001010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110100000000000000000000000000000000100000000000000000000000000000000000000000000100000000000000000000000001000100000000000000000000000010000000000101010000010000000000000000100000000000000000000000000001000000000000000000000000010000000000000000000000000000000001000000000100000000100000000000000000000000000000000010000010000101000000000001000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000100000000100000000000000001000000000000000000000100000000000001000000100000000000000100000000000000000000000110000000000001001000100000000000001001000000000100000000000001000000000000000000000000000000100000000000000100010000000001100000000001000000000000000000000000000000000100010000000001100000100100000000000000100010000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000011010110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001001000000001001000000000000000000000000000000000000000000001000000100000010000000000000001000000000010000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000110000000010000000000010001000100100000000011000000000000100000000000010000000000000001000000000000000010000000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000000000000000000110000000000000000010000000000100000000001000000000000000000110000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111110100101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011010010010010000000000000000001111001100111100110001111000000001001001001011100111100000000000000000000000000001001000000010010000000001110001000110001100010100000000000000000000011000000001010110001001100000010111000000110000000001000011011100000000100000000001100000011111000000000100110000111000111000100100110001110001000100001111000000000111111000110000000000000000000000000000000000000000000000000010000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000011001000011000000000000000000000000000000000000111100000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000110000000101100010000000000000001100000000000000101100000000110000000000000000000000001010000000001000000000100000010000110001100000000110000000011000001011000000110100001100000001111111000000001101000000011011101111000000111100001100000000110110100100101101000000000000000000000000001111111100000000001001010010000000000000011010000110000000001110101100000000000001110111100000000100011010100110000000001110101101101100000001110000000110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000011011000000000111000000001110000000110000000101100000001110000000000000001111000000111100000011111000001111100010001111001011000000000011000000011000000010011000100111100000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100100010001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100000000000000000000000000010101100100101000000001000000000000000100000001001010000000000000000000000000000100000000000000000000000010000001000000000000001100000000000000000001000100000000000001000000000000001100000000000010000000000010100000000000000000000000000000001000000000010001010100000000000000000000101010000000000000100100000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000001000000000000001000000000000000000000000000000000001001000000000000000000000001000000000100100000000000000000000101000100000000001000001000000010000000010000000000000000010000000100000000000010001100000000000001000000000000000000000000000000000000010000000010000100000000000000010000000000000000001010000000010000000100000000000000100000010000000100000110001010000000010000000000000000000010000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000001000000000000000000000000000000001000000000001000000000000100000000000000010000001010000000100000000100000000000000000100000000000101000000001000000000100000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110011101010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000000000000000000000100000000110000010010010000000000000001000000000000000000000000000000000000000001000000000001000000000000000000000000011000000000000000000000000000000000000001000010000010000000000000000000000000000001000010000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000100000000000001001100000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000001000000000000000000010000000000000000010000000000000000000000000000110010000000000100010000000000000100000000010000000000000000110000000000010000010010000000000100000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000000000000000000100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000010000000000000000000000101000010000000000000000000001000000000000000001000000000000000000000100000000010000000001000001000000000000000000000000000000000000000000011000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000010001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000100000000000000000000011000010010000000000000000000000000000000000000000100000000000000000001100000000000000000000000000000000000000000010000000000000000000000000000000000010000000000000000000000000001000001000000000001000000000000000000100010000010000000000000000000010000001000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001000000000000001000010000000100000000000000000000000000000000000000000000000000010000000100000000000000001000000000000000000000000000000000000000001010010000000000000000000000100001000000000000010000000000000100000000100010000000000000000000000000000000000100010000000000000000000000000000000000110000000000000000000010000000000000000001000100000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100100000001000000000000100000000100010000001000000000000000001010000000100110000000000000000000010010001010000000000000000010000000000000000000000001000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001111100110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110000001111100000100000001011000000000100110100110000000000110000000000000111000000000000000000000000000000000000110000000000000001010011000000000000000000000000110100000000110000000001111000000001100000011011000000110100000011111000000011110000011000000100100000000110000000101100000001111000110011110000011111100010000000001001000000011000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000010001111000000111100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000110000000000000000000000000000010000000000000000111000000000110000000000000000000000000000011110000110111100011100010000110000000001100110100111001110001100000100111100011100010001111000100000101001000100001110000000001110011000011100010000110000100011101001001111000110000000001111000000111100000000000000000000000000000000000110000110000110001110110100000001100000001111100110000101000110000110000110001110011110010000000000001001100110010010000010000110000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000010000111000100001100000000110000000111100010000111000000000000001111000000111100000000000000000000000010001111000000011110000011000000111100000001111000000000000110000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010001101001111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000010010000000001000000000000000100000000000000001010000000000000101000000000000000000000000000000000001010000000000000000000101000000000000000000000000100000000001010000000001000000000010000000010000000000000010000010000000000000001010001000000000000000000101000000000000000100000001010000001100001000010100000000010000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000001000100000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000001000010000010000110100000000000000000000100010010000001000001000000000010000010000001000000000010000000000000000101000000000010000010000011000000000000000000010000100011001010000000100000000000000010000000000000000000000000000000001010001010000001010001000000000000010000001000001010010000001010001010000000010001000000000000000000000000001010000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100001010000010000000000000000000010011000100000000000000000100000000000000010000000000000000000000000100000000000000001001000000000010010000100100000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001001000111110111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000000110000000000001001000000000000000010001000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000000000100000000100000000000000000011000000000000101100000000000010000000000000000001000000000001000000000000101100000000110000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000000000000000000000000000100000000000000001000000010000000000000000000000000000000000101100000000110000000000010000000000000100000000000000000100000110000000110000000000000010000000000000000010000000000100000000011000000000000000010000000010000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000000000110000000000010000000010000000000000000000000000000001000001010001000000000000000000000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000000000000000000010000000000001000000010000000000000000001000000000000000000000000000000000000000001000000000000101100000000000001000000001000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110010100011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000001100000000000000000000000010000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000010000000010000100000000100000001000000000000010000010000000000000000011000100010001010000100000000000000000000000000000000000000011000100000000100000001000100000000000000000000000001010000000100110000000000000000000000000000000000000000000000001000000000000000000000000000000010000100000000000000000001000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000010000000000010000000000000001010000000100110000000000000000000000010001010000000000000010000000000010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101001001111011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000010011110011111111100100100110000110000000111100000001100000010010010000000001110011000000000110000000001101101000000000000000010000000000000000100000000000000000000000000000100000000010010000011101000111101101001110000000111110100001110000000000000001111111100001100110001111000000111100000001000000000011110001110100100000100110000000000000111100100000111000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000011000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011000000010000000000100100000000000000000000000000000000000000000000000000000000000000101100000000000000000001000000000111000000000000000110011001111100000000111000001111100000001100000000011110000111111100111100100001111001000000000000000000000000011011001111110100011100110001111001100000000000000000000000011011000110000000000101011001111110100000000000000000000000000000000000000000011000000000000000000000000000000001000000000110001111001010001100010001111101110000100000000000000000000110011110001110101100110000111001110000001101000000000000000000000000000101001000000000000100100000000000000000000000000000000000000000000000000000000100100000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000110100000000110000000001100100000110000000000000000100100001011000000000000000100000000100001001000000000000000111100100100100000000011000000011100000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010111001001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001010010000010000000000000011000001000000000000000000010000000000000010000000010000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000001000000000000010000000100000000101000000000001000000000000010001000010010001010001010000000000010000000000000000000001000000000000000100000000000000000111000000010001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000001000100000000010001000000100000000000000000000000001100000000010000010000100000100000000000000000000000000000000010000001000000110000001000100000000000000000000000000000100000000000000010000100001000001000000000000000000000000000000000000000000000010000000000000000000000000100000000000001010001000000000000101000100000000100000000000000000000000001010000000010000001101000100000010000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000100000000000000000000000000010000001000000000000000000000000100000000000000000000000000000010000000000000000100000000000000000000100000000000000010000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100011010100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000000000000000000000000000000000001100101100100000110000000000000000000000100100000000010000001100100000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000000100000000000001000001000000000000000001000000110000100000000011000000000000000000100000000000101101000000110000000001000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000010100000000000000000000100000000001000000000000010000000000010000000000000000000110000000000010000000000101110000000110001000000001000000000000000000000000000000000101000100000110000000001100011000000000000000000000000000000100010000000000000000000010010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000100000000010000100010000000000000000000000000001000000000100000000110000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000001000000010000000000000000000000000010000010000000000000000000000000000000000000100000000000000010000000110000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101101001011110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000011100000000000001000000000100000000000000010000000000000010000000110000000001000001000000000000000000000000000000001000000000000000000000000000000100000000000000100000100010000100111000000100000001000010001100000000000000000001000000010000000000000000000000100110000000000000000000000001100000000000001000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000100000000000000000000100010000000010000100000000000000000000000001000000000000000010000010100101000001010000000000000000000000000000000000001010000000000100000100000000100000000000000000000000000000000100000000000000000000100100000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000001000000000000000000100010000000000000000000000000000000000001000000000000000000000010000000000000001000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000010000000100000000000000000000000000000000000000000000000100000001000000000000000000000000100000001000010000000100000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001100111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000111101110110000100000010000000100011101110100001000000000000000111101010000100000010000101011111111000000001000000000000000111100000000000000000000000011110000000000001000000001000100111100000000100000010000111011101110111000001000001001000100001101010000100000010000000000000000000000000000000011110011111100000000000000010000000000011100110000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111000001000000000000000000000000000000000000000000000001111111100001000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000010001111100111100111110001000000000000000110011111000100000010001111100110011110010001000000011111110000000001000100000000001001111111111110010000000000000000000111111001000000000000001001111111111110010000000001011111111111111001000000000000001001111111111111110000000001000000000111100000010000000000000000000001110000000001000000001010111111100000010000000000100000011111111000000100000000011111110111100000010000000000100000011111111000000100000000000000000000000000000000000000000000000001111000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010110011010100000100000010001001111110011110010001000001000000000000000001000100000000000000000001100110000001000000001011111110000110000100000010000001001111110111000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011101000100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111100010000000100000010000000000001101110100001000000000000000101000000000100000010000000001011111000000001000000000000000111100000000100000010000000011110000000000001000000000100010111100000000100000010000111111000100010000001000000001001110000001010000100000010000000000001111110000001000000010111011111100000000100000010000000000001111111100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111000001000000000000000000000000000000000000000000000001100110000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001001111000011110010001000000000000000001111001000100000010001001111000011110010001000000011111111000000001000100000000001001111111111110010000000000000000000111111001000000000000001001111111111110010000000000010111111111111001000100000010001001111111111111110000000000000000000111100000010100000000000000000001111000000001000000001010101111100000010100000010100000011111111000000101000000011111111111100000010100000010100000011111111000000101000000000000000000000000000000000000000000000001111000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101001101010100000100000010001001111111100111110001000000000000000000000001000100000000000000000001010010100001000000001011111101010100000100000010000100011011010111100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001100100110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001001100110001000000001000111111111111111100010000000000000000010100000001000000001000000010100000000000010000000000000000000000000000000000000000000000001111111100010000000010101111111101110001000000001000010100000001101100010000000000000000110011010001000000001000000011110010111100010000000000000000000000000000000000001000000000001011001100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001111111110011110010010000000000000000001111001001000000001001001111000011110010010000000001111111101011011001000000000001001111111111110010000000000001111111111111001000000000000001001111111111110010000000001011111011111111001000000000001001001111111010110110010000000000000000000000000001100000000000000000000000000000010000000011111111111100000001100000001000000011111111000000010000000011111111111100000001000000001000000011111111000000010000001000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011110000000001000000001001001111111111110010010000000000000000111111111001000000000000000000000011001100010000000000000000000000000001000000001000000111101010101100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110101111101101111111111111111111111111111111111111111111111111 +00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011101111111110000000000000000111110111101111100000000000000000000111110100000000000000000010111110000000000000000000000000000000000000000000000000000000000001100111100000000000010101111111111110000000000000000110011000010011100000000000000000000110011000000000000000000000011110111111100000000000000000000000000000000000000000000000100011111011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111100111110000000000000000000110011111000000000000001111100111100111110000000000011111111101011011000000000000001001111111111110010000000000011111111111111001000000000000001001111111111110010000000001011111111111111001000000000000001001111111010110110000000000000000000000000000000000000000000000000000000000000000000000011110111111100000000000000000000000111111111000000000000000000111111111100000000000000000000000011111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001100001111110000000000000001001111111111110010000000000000000000111111111000000000000000000000000101010100000000000000000000000000000000000000000000001111001111101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000111011101101110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000001100000000000000110000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000110000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010110000000110011010001100000000000000000000000000000000010000000000000000111110110000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100011001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001000000110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000110000000000000000000000000000000000000000000000001000000000000000000000000000000000000100000000000000000000000000000000000000000000100000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000110000100000000000000000000000000000000000010000000000000110110000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000111001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000001000101000100000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110110101111100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001010000111111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001001110110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010011111000110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000100010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001011101111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000011000000000010000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000011000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100101010110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010001000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111110011000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100110111111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000111110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011110011000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100100000100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110001111111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110010001100000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010111111101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000010001100000110100100000000000000000000000000000000000000000010110001110111111100110000000000000000000100000000100000000001000010110001001000000110000000000011111011000000000001111001010001001000001000000000001000011001100001011111001001111000000001100110010000000110000000000000000000000000010000000011000110000110001100000110000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000110000000000000000000000000000000000010000000000000000000000000000000110000000110000000000000000110000000000010110101101010011101000010001000011011111011011011011000000001110101011110001111111011011111011011111011011111011000000011000110000010011011111011011111011011111011100000000000000000000000100000000000110001101100011100000000000000000000000011110111011110111101100011000000000001100011001100011001111000110111011110110001100101001100101000000001001100011001100011110111111110011100000000000110000001100010101100011001111000000000000000000000010100100010100000010100000010100000000000000000000000000000000000010010000000010100010010100000000011000000000000000010010100010010100000000001000010000000000000000000000000000000010100110010100110000000000000000000000000000000000000000010010000110011100110010000010001000000000000000000000000000010010100010000000000010000000010000000000011000000000110000011011011011001000000000000000000110000000011000000000000001100010000000000000000000000000000000000000000000001100000110011001110000010000000110000000110110000000000110001111110110000010000000000000011111111001100011000000000000001100000100011110110000000000000000000000000000000000011000111100110000000110000011111000000000000100000000000000000000000000000000000010000011001000000000000011010000000000011000000000100010000000000000000000010011011000000000000000000000100100010100000010000011111011000000000000110000000000000000110100000000100000000000000000000000000000000000000000000110111111110111100000000000000000000000000001100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110000001110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000010010010010000000100000000000000000000000000000000000000000000101001000000000000000000000000000000000001000000000010000000000100000100000000000000000000000010100100000000000010000000000000100000000000000000000000000000000000000000001000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000010000100100100000000000000000000000000000000000000000010000100011001000010000000000000000000000000000000000000000001100000000000000000000000000000000000000000100000000000000000000000100000000000000010000000010000000000000000000000000001000000001000000100000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000001000000111000111000000000000000000000000010000000000000001000000000000000000000000000100000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000001000000000000000001000000001000000000000000000000000000000000000000000000000000000000101000000101000000000000000000000000000000000000000001000000100000000101000000000000000000000000000000000000000001000000001000000000000000000000000000000001100000010000000000100000000100000000000000000000000000000001000000000001100000000000000000000000000000000000000000000000001100000000001000100000000000000010000000010000000000000010000110010110000000000000000000000000100100000000000000000000000000100000000001100000000000000000000000000000000000000000111000000000000010000000010100000000000010000000000000000000000000000000000000000000000100000000000000001000000000000000110000010000001000000000000000000000000101000000000000000000000010000000000000000000000000000000000000010000000000000000001000000000010000000000000000000000000000000000000000000000000000101000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000010100011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010010000000000000000000000000000000000000000000000100100001000100101000000000000000000000000100000000100000000000000000000000000001001000000000000110000000000000010100000100000000000100000000000000000100000000000001010000010100000000100001000000000000000100000000000000000000000000000000000000001001000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000010000000000000000000000000000000001000000001000000000000000010000000000000001000000110000000000000000000000000000111000010001000000000000100010010000000010001000000111000000111000000111000000000000001010010000100000111000000111000001001000000000000000000000000000000000000001000000000000000000000000000000000000000010000000110000000100000000000000000000000000000000000001010010000100110000000100000010000000001000000100000000000010001010000000101000000000000000001000000000000000000000000010001000000000000000000010000000110000000110000000110000000100000000000000000000000000000000010000000010000000110000000100000000000000000000000010000000110000000100000000010000000000000000000000000000000010000000110000000100000000000000000000000000000000000000000010000000010000000110000000000000000000000000000000000000000010000000100000000010000000010000000000000000000000010000000000101000000000000000000000000001010000000000000000000000000000100000000000000000000000000000000000000000000000000000001000001001000100000000100000000101001000000001000000001001001000100000000000000000111000100000100000000000000000000000001010001000100000000000000000000000000000000000001101010000000000100000000100000000000000000000000000000000000000000000000000100000000000000000000000000100000000000000000000000000000000000000000000000000100000000000000000000000000000110000000100100000000111000000000000000100000000000000000000100000000000000000000000000000000000000000000000000010000100110000100100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110011111100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000001000000000000000000000010100000000000001000000001000000000000000000000000001000100000000000010100001000000000000000100000100000010000000000000000000000000000000000001000000100100001000000010000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000000000000000000000000100001000000000101000010001000011000010100000010100000000000001000000010100000010100000010100000010100000010100000000000000000000000000100010100000010100000110001000000000000000000000000000000000000100100001100110000000000000000000000000001100011001100011001100011000000000001100011001100011000001000100010001100010001100001001100001000000000001100011000000001100011001000000000000000000100000001100010001100011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000100000000000001000000000000100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000100000000000000000000000000000000000000000000000000010000000110001000100000000000000000000000000010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001000010010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000110010001110000000000000000000000000000000111100000110011000010011110110100000000000000000000110000000101100100100101000110101100011000000000000001000000110010110010000000110010001111000100011000000000000000000000010000000001100101110001000011100000000100100000000000000000000000000000100000111010000100000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000111100000000000000000000000000000000000000000000000000000000111100010000000000010000000000000000000000001000000110000000001100011110010001111011000011001111000000001000000110001001001100001100010000110101010011001111000000001000000110000001111100001000010000010010101000101001000000001000000000001000000000000110000000000000000100000000000000001000000110001000000100000100000000011000000011100110000000001000000110001010001100011000010000011100110110000110000000000000000110000000000100011101110001001011000000000110000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000011000001100000000000001000000000000000111110000000010000011110000101100000000000000000000000000000111100001100000000110000000000100000000000000000000000000000111100000000000000000000000001100000000000000000000110000000011000001100000000111100100000000000010000000000000000000000000000011111011000001111000001100000000000000000000000000000100100000000010000001011000001100000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000100001100000000011100000100000000000000001000000000000000101100011000011000001000010001100000000000000000000000000000111100000001001000000100000110000000000000000000000100000000011000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100101101010110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010010000000000000010010010000000000000000000000110000000000000000001000000100010100001000000000000000000000110010000100000010000000000000010000000000000000000000000000100000000000100010000000000100000000010000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000010000000000000001100100010000000000000000000110000000000000000100000000110000000001010000000000000000000110000000000000000100000000001010001000000100000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000010000000010100000110000000000000000000000000010000000100000000000100110000000001000000000000000000000000000000010000000111000000000000110000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000100000000000000000000000000000000010000000000010000000010100100000000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000000100000000000000000000110000000000000000000000010000010000000000000000000000000000000000000000001001010010010000101000010000000000000000000000000000000000000000000000100000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000000000010000000000000000000000000000000000000000000000100001000010000000000000000100000000000000000000000000000000000000000100001000000000001000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000100000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000000000000000000000000000010000000010000000000001000001000000000000000000000000000000101000000000000100010000000000000000000000000000000000000000000000000001000000010000000100000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000001010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000110000000001000000000010100000000000000000000010001000000000001000000001010000000010100000000000000000000001000000000000001000000000000000000000010000000000100000000000001000000000000000000000000000000100000000000000100010000000001000000000000000000000000000000000000000000000100010000000001000000100100000000000010000000100000000000000000010000000000000000000100100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000010000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010100000000000000001100000000000000000000000000010001001000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000001000000000000000000000000000000000000000101000000000010000000000000000000000000000000000000000000000000100000000000000010000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010011110101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000010000000000000000000000000000000000000000001000100000100001010000000000000000000000000000000001000000000000000100000000001000000000000010000000000000000000000100000000000100000000000100000000000000000000000000000000100000010001001100000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000010000000000000000110001000000000110000000000010001000000000010000000000000000010100001000000000000001000001001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000100000000000000000000000010000110000000000000000010000000000100000000000000010010000000000110000000000000000010000000000000000000000000000000000000000110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000001000000000000010000000000000000000000000000000000000100000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000001000000000000100000000000000000000100000000000000000000000000000000000000000001000001000000000000000000000000000000001000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000000000010000000000000000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110111101010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011001000000000000000000000000000000000000000000000000000000000001000010001001000101011100000001101011100011100010000100001011000010001110001101001100100000011000000111100010011111000000010000000011100000011000110001100000100111100110001101011010000000000000000000111100000000101101110011000110100110011011000000000011011000111100100001110011000001000000011011000000000000000000000000111101100000000011000010000000000000000000001100000000000000010000000000110001000000000000000000000000000000000000000000111000000000110000000010000000000000000000001010000000010100001100010001111011100000000110100000011011011011000000011100001100000001111111100000000110000000011111010010000000100100001100000000110111100100101111000000000000000000000000001100001000000000000000000000000010000000011011000110000000000100101100000001111001010111100000000100000111000110001111001010101100010000100000000000000110000110000000000000000000000000000000000000000001110011100010000100000000000100000000000000000001001000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000100000110000000000100000000110000100011100000001011000010000000000110000000001101010000110111000101100000001110011000000000000110000000001100000000110001010101100000001110000000000000000000000000000000000000011001100110000000000010011011000000000111000000101101100000110000000011000000000110011011000000001010000000110001100001111000000101100000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000100001100000001011010100101100000000010000011000000001011011100011100000000110000100101100010001110011111000000000110000100001100000000110100101111100010001111000000000000000000000000000000000010011000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001010101010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000010000000000001000000101000000000001000001000100000000000100000000101000000000000000000000000100000000000100100100001000000000000000001000000000001010010000100000000001010000001000000100000000000000000000111000000010000000000001010100000000001000100000100000000011000000000000100001000000000000001000000000000000000000000000000010001000000000010100000000000000000000000001000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000000010000000000000000001001000000011000010001000010000001000000000000000000011000000000000000000110001000000010000100110000000000000000000100000000000000000000001100000000000001100000000000000000000000000000000000010000000000000000000000000000000000000000000000001010000000010000000100000100000000000000010000000100000000001010100000000000000001000000100000000000001010000000000000000000000000000000000000000000000010000010011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000010001000000000001000000000000000000101000000000001001000000001000001000000000001000000000000000101000000000000000000000000000001000000000001000000000000000000000000000000000100001000000100000000000000000001000000100000000000000000001000101000000000010000000000000000000000000000000001000000001100010000000001100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000100000001000000010110000000000000010000001000000000001000001000000000101000000000000000000000000001000000000001010000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111000101011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000010000001000000001000000001000100000001001100000010000000000000000000100100000000010000000000100000000000100000000000000010000000000000010001000000000000000000000000000001000000010000010000000000000000001000100000000000000000000000100000001001001000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000100000000000000000000000000010001000000000000000010000000000100000100000000001000000010000000100000000000110000000000010000010000000000001100000000000000000000000100000000100000000000000000000000001000000000100000000000000000000100000000001000000000110000000000000000000000000001000000000100000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000000000000000000001001000000100000000000000000010000000010000010000000000000001000001100000000000000000000010000000010000000000000000000001000000000000000000000000000000000000000000100000000000000000001000010000000000000000000000110000000000000000000000000000001000100000000000011000000100000000000010000000100000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000011000000001100000000000000000000000000010000000000000000010000000000000000001001000000010000000000000000000010000000010000010000100000001001000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011100111110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000000100000010100001000000000000000000000000001000000100000001000000010000000000000000000001000000000000000100000000000000100000000001000100010001001000000010100000000000000000000000000000000001000000000000000001000100000000000010010000100010001000000000000000000000000000000000000000000000000000100111000000000010000000000000000000000000001000000000000000000000000000100000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000010000000001010110000000000010000000000100000100000000000010000000000001010000000000000001000000001000000100000000000000000000000000100010000100010000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000010000000001010000000000100000000000001000000000001010000000011000000000000000000000000000000001000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001100000000000001000000000100000001000100000000000000000000000000000000000010000000000100010000100010000001000000000000000000000000000010000000000100000000100010000001000000000000000000000000000000000000000000100000000010000000000000000000000100010000000100010000000000000000000100000100000000100000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000100001000000010000000001000000010000100010000100000000100000000100010000001000001000000000000000001000010000000000100001000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110111010011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000010000001110100000000010000000000100000011010000000010000010000000001110000000101100000000010000100001100100000110000010000010011011011000011100010000111000100101101101001010001100001100000000000000101100010010010000000001000110010010000000000000001101000000001000010001111000000011100000000001000000000000001110000001011000000001111000000001110010011111000000000000001111000000111100000000000000000000000000000000000000010000000000100101111100000000110000000111110010000000000000010000000000000000110110000000110000000000000000000000000100011110000000011100011110000001111000000001000110010010001110001100001101111100011100000001111000000001100110011111001100000010010111011000011100010000110000100011101001000101000110000000000000000000000000000000000000000000000010000000000000000110000000001110011100000001111000000000000110000000000110000110010011000101111100000000001000000011000000001100000000000110000000001110001100000001100000000000000110000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000100100000010010000000000000000000000000000010110000000000000100100000000000000000000100000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000111000000111100000000110000000010000000000111000000010000000011000000111100000000110000000010100000001011000000000000001110000000011100000000110000000000010010001110000010011110000000111100000000000000011000000000011111000000000000000000001111000000001100000000110000001101100000010111000000000000000110000000110100000000001000000111100000000110000000000000000000000000000000000000000000000000000010000000000000000000000110000001001100000000000000000000000100100000001110000000011110000000001100100000110000000101100000000101000000000000001011000000101000000000110000100011100010001111000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000111101111111111111111111111111111111111111111111111111 -00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000001000000000000000000000001000000000001000000000000000000100000000000000010000000010010010000100000000000000000000000000000001000001000000000000000000100000000000000001000000000000000000000000100100000000000001000010000000000010010000001000000000000000000010000000100000000010000000000000000100001000000000000000100000000000000010000000000000000000000000000000000000000000000000000000100000000000000000000000010000000000000000000000000000000000100000000000000000000000000000000000000010000001000000000010000110000001000000000000000000000000000001000001001010000010000010000001000000000000000000001010000001000000010000000010000111000000000000000010010000001000001010000000000000000000000000000000000000000000000000000000000000001010000000010000100000000100010000000000001010000000000010001010001000000100100000000100000000000100000000000000000000001010000000010000000100000000010000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000001000000000100000000000000000100000000001010000000000000000001010000000100000000000000000000010000000100000000000000000000000000100000011000000000001000000000010000000000010000000000000000000000000000000000000001000000000000000000000000000000000000000010000000000000000000000000001000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000010000000000000000000010000000001000000000000000100000000000001000000000000000000000010000100100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100001101111011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000101010000000001000000000010000000001000000001000000000000000011000000000000000000000000001010010000000001000010000000000010000000000000001000000000011100000000100010000000100000100000000000000100000000000000000000000010000000000000000000000100000001000000000000010000000000000000000000000000000000000100000000000000000001000000000010001000001000000000000000001000000000000000000000000000000000000000000000000000000100000000010000000000000010000000000000001010000000000000000100000000000000000000100010000000000000000000000000000000000101100000000000000000100000010000000000100010000000000000100000100010000110000000000000010000000000100000000010000000100001000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000001000000000000000000000000000000000100000000000000000100000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000010000000000100100000010000000000000000000010000000000001000000000000000001000000010000000000000000000000010000000000000000100000001000000000010000000000000001000000010000001000101100000000110000000000000000000000000011000000000000000000000000011000000000100000000001000000000000000010000000000000000000001000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000000000000000100000000100000000000010000010000000000001100000000000000000000000000010000000001000000010000000010000000000000100000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010100011111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000100000000000000000000000000001000000100010000000000100000000000000000000000000000010000000000010000100000100010000000001000000010000000000000000000000000000100010000000000000000000001000000000000000000000000000000000000000000110000000000000100000000000000000000000000100000000000000000110000000000010000000100000000000000000001010000000100110000000000000000000000000000000000000000000000000000101000010000000100000000000100000000000000000000000000000000001000010000000100000000000000000000000000000000000000000000110000100000001010000000000000001000000000010000000000000000011000100000001010000001000000011000000000000000000100000000010000100000000100000000000100000100000000000000000000000000000000000000000000000000000000010000000000000000000000000000000001000000000010000000000000000000000000010000000000000000000001000000000000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001000000000000100000000000000000000010000000000000000010000000000100000000100000000000000000000010000000000000000100000000001000000000100000000000000000000000000000000000000000000010000000000010000000000000000010000000000000000000100000000001000000000100000000000000000000000000000000000000100000000000100010000000000000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000000000000000000000000000000010000000000000000000010000000000100000000000000000000001000000000000000010000000000000000000100000001010000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110010011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100100000000000001111000000000000010000000000000001110001010000100101100010000111000100011100010001010000000000000011111001000101100110001100000000101100100000110000000000010001011001000111100000010011000000001100110000100000000000010001111000100000100110101110000000101100000000110000000010010001011000000110100000000010000000011100000000111000000011110000000110101011000000000000100000000000000000011000000000000000110000000111100000000001000000111100010001011000000000000000110101100111100100001110000000011100100000000000000011111001111011000111100110100000001010000001000000000000000011111001111110100011110100000110111100000000000000000000000011110000110000000100111110001111010000000000000000000000000000000000000001000000000000000000000000000000000000000000000010100010011001010001100010000011001110100100000000000000000000000001110001110111100110000000001110011000000001100000000000110000000001111011100000000000000000000100000000000000000000000000000000000000000000000000011000000000100000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000001101100000111100000001100001100000000000000000000000001111000111010000111100000001111000100101101000000110000000000100000100010000110100100001111100100000000100100001000000010110000100100100111100000000000000000010000000000110000000000000001110000000011100000000110000000001100000000100000000000000000001001000111101001000001000100011001001001111000000000000000000000000000000000000000000100000000010000000000000000000000000000100111100100000000000000000000000000111000000010010010111000100101100110001100000000001100000010111000000000000000111001010111100100001111000100000000000000000000000000000000100100100010000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111010000110000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000000100000000000000000000000000000000000101000000000000000001000100000000001000000000000000000000000000001010000000000000000000010000000010000010000000000000000000100000000000000010000010000000000010000000000100000000000000001000000000010000100000001000000001000000000000000000000000100001000000100000000000000000000000010000100001000000000001000000000010100000000000000000000000000000001000000000000000000000000001010000000001000000000000110000001000000000000000000000010000000010000000011000001000000000000000000000000000001000001000000010100000000000000000000001000000000000000000010000001000000110000000000001000000000000000000000000000001000000000000000000001001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000101000001001010000010000000000000000000000000000000010000100101010000000010000000010000000000000000001010000000010001010000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100100000000100000000000000000000000000000000000100000001001000000000100000000000000000001000100000000000010000000001000110000000100000000100000000000010000000000000000000000000000001110000000000000000000010000000000000000000000000000000000010010000000101000000011000000000000000000000000001000000000000010000001000010001000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000100000000000000000010100000000000101000000010000000011000000001100000000000000100000000000000110000100000000000000000000000000000000000000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010111010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000000000000001000000000000000010000000000000000000001000000001000000000000000000000010000000000011000000000000000100100000000110000001000000000000000000010001000000000000000010100000001000000000000000000000010000000000000000000000000010000000000000000000010000000000000000010000000000001000000010000000100000000000000000000010100000000000000000001000000000010000000000000000000000100000000000000001000000000000010000000000000000000000000000000001000000001000000000000000010000010000001010000001000000000000000000000000000000000101101001000000100000000000000000000000000000000000000000000101100100000110000001000000001010010000000000000000000000000101110000000000100000100010010000000000000000000000000000000000000000100000000000000000000000000000000000000000000000001000000000000000000100000000000000000100000000000000000000000000001000000000001000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000101001000000000100000010000000000000000000000000000010000000000000000000001000000010001100000000000000000000000010000000000001000000001000010000000000000000000000000010101010000000110001000000000000000000000000000000001000000000000001001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000011000000000000000000000000000000000000000000010000000000000000000000000000000000000000000010000000000000000000000000000000000000000100000000000000100110000000010000000000000000000000000000000000010000000000000000000001000000000000000000000000000000000000010000000110000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000001010000000000000000000000000000001000010000000100100010000100010000100000100010000000000000000000000000000000100010010000000000000010010000000000000000000100000010000000100100000000000000000010000010000000000000000100010001001000000000000000001000001000010000000100000000000100000000000001000000000000100000000000000000000001000000001100000000001000000000000000000000000000000000000000000000000000000100000000010010000000000000000010000010000100000000000000000100010000110000000000000000001010001000000000000000000000001000000010001100000000000000000000000000000000000000000000001010000000000100001100000000010000000000000000000000000000000100000001000001100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000010000000000000000000010000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000001000000000000000000000000000010000000000000000000000000101000010000001000110000001010000000001000000010000000000000000000000000000000001000001010000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001000000000000100000000000000000000000000100000000000000000000000000000100110000000000000000000100000000000000000000000000000000000000000000000000000000000000010000000000000000000000000100000100110000000000000000000000000100100000000000100000000100000000000000000000000000000000000000000000000000000000010000000010010001001010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111111010101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111000000000000000000000000000000000000000000000000000000001101111111110000100000010000111101011110101000001000000011111111001011010000100000000100100000101111000000001000000010101010001100110000100000010000111010100101111100001000001000010111001111000000100000000000111111111111111000001000000010001000111101100000100000010000110111110111111100001000000000000000000000000000000000000000000000000000111100001000000000000000110011111000100000010001111100111100111110001000001000000000110011111000100000010001111100111010110110001000000011111111111100000000100000000001001111111111110010000000000011111111111111001000000000000001001111111111110010000000000011111111111111001000000000000001001111111111111110000000001000000000111100000010000000000000000000000000000000000000001000000000001111110010000000000100000011111111000000100000000011111111111100000010000000000100001100111111000000100000000000000000000000000000000000000100000011111111000000100000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101101101100010010000000010001101101011010110110001000000010110111000000001000100000010001111100111100111110001000001000000000000000001000100000010001001111111100111110001000000000000000000000001000100000000100011101111111111100100000000010100101000101110000100000010000000101111000100000001000000000000000011010010000100000010000011010011111111100001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010110101011101100100000000011111110111111100010000000010001001111110011110010001000000011011110000000001000100000010001101101011010110110001000001000000000000000001000100000000000000000001111100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110011111000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000000000000000000000000000000000000000011110010011101110000100000010000111101011110101000001000000000000111000011010000100000010000111111111110000100001000000011111111001110110000100000010000010101110101111100001000000000000011111111110000100000010000111101111111111000001000000001100110111101100000100000010000111011001111111100001000000000000000000000000000000000000000000000000101000000001000000000000000001111001000100000010001001111000011110010001000000000000000001111001000100000010001001111001010110110001000000001010101111100000000100000000001001111111111110010000000000001111111111111001000000000000001001111111111110010001000000001111111111111001000000000010001001111111111111110001000000000000000111100000010100000000000000000000000000000000000000000000000111111110010100000010100000011111111000000101000000011011111111100000010100000010100001000111111000000101000000000000000000000000000000000010100000011111111000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001101100010010100000010001101101011010110110001000000010000100000000001000100000010001001111000011110010001000000000000000000000001000100000010001001111110011110010001000000000000000000000001000100000010100001000101000110100101000000010100101011100010000100000010000100000010001000100001000000000000000100101100000100000010000100101101010101000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010110101000100000101000000000000001110111000010100000010001001111111100111110001000000000010010000000001000100000010001101101011010110110001000000000000000000000001000100000000000000000001111110100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100110010111100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111100000000000000000000000000000000000000000000000000000000000111110111110001000000001000111101111111111100010000000011111111000001000001000000000000111111110111001100010000000000000000000000000001000000001000111111111111111100010000000011000011110011110001000000001000000010000000000000010000000011011100011111110001000000001000111101111000111000010000000000000000000000000000000000000000000000000000000000010000000000000000111111111001000000001001001111000011110010010000000000000000001111001001000000001001001111000011110010010000000000000000111100000001000000000001001111111111110010000000000011111111111111001000000000000001001111111111110010000000000001111111111111001001000000001001001111111010110110010000001000000000000000000001100000000000000000000000000000000000000000000000111100000001100000001000000011111111000000010000000000000000111100000001000000001000000011110000110000010000000000000000000000000000000000001000111111111111000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011100001000000001001101101011010110110010000000000001111111111111001000000001001001111000011110010010000000010111000001111111001000000001001001111111111110010010000000000000000111111111001000000001000000000000000111100010000000011000011011010010001000000001000100101100110100100010000000000000000101001010001000000001000101101110001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001110001000010000000000000101101010100001000000001001001111111111110010010000000010001011111111111001000000001001101101011010110110010000000000000000111111111001000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111010110111111111111111111111111111111111111111111111111111 -00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111100000000000000000000000000000000000000000000000000000011111111010111110000000000000000111100000000000100000000000011111010111111110000000000000000111110100101000000000000000000000000000000000000000000000000010110101001011000000000000011111111001100000000000000000000100011100000000000000000000001100000000011110000000000000000111101110001000100000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001111100111100111110000000000000000000110011111000000000000001111100110011110010000000000000000000111100000000000000000001001111111111110010000000000011111110111111001000000000000001001111111111110010000000000011111111111111001000000000000001001111111010110110000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000111111111000000000000000000000000111100000000000000000000000011110011000000000000000000000000000000000000000000000000111111101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110100000000000000001101101011010110110000000000001010101111111111000000000000001111100111100111110000000001010111000001111111000000000000001001111111111110010000000000000000000111111111000000000000000000000000101010100000000000011000011001111000000000000000000011010011001011000000000001000000000101001010000000000000000000100100111000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100000000000011110101010110100000000000000001001111111111110010000000000001000111111111111000000000000001101101011010110110000000000000000000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000101111110100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110000011000011100000000000000000000000000001100011001111011000001100000110100000010000000000000000000000000000000000011111111111110001111111001000000000000000000001100011000011011100110000000010000000000000000000001100011001100001000011000000110000110110000000000000000000000000110001100111000011000110000011000000000000000000010000000000110000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000110000000000000000110001100000001110010101100111001100111001100011000000100000110000000000010110111111110111101110111000110000000110000001100001000000001110111010100011100110001001110011111111011011111011001111010010111101100111011111011011111011011111011011111010101111011000111010101010111111111001100111011111011000000001101111011110111100110111000110100000110000000110000001110011001100001000001000000110001100111101110011100110000000110000001100011000110000110001100110000000000000000000000000110001100000000110111111110110101100011001100111000110110011110011001111011000111001100011011111000000110000001110111000110011001001011110110110110001100110000001000011100110110011000010000000010011010000010000111110111101000000001110110011100011101100011100011011110010000110110001100111001000001011100011001111001010100011110100111001011111000011000000011111110000000000010100011001110010011000110001100000001100111011110000001100011000000000110000011110000000000000011110001111000001100000010001000111000000000010000000110000010111000000010000000000000000110000010111111111111000110110010011000000010110000000000110001111110111100010000010111111110001000001100111000000000000000000000000000110000011001110000110000000000000000000001100111101000010000110001100000000001110011001100001000000011110111100110100000000000000010000011111110000010000000000010110111110000000000000000010111000000000000000010000000000011010110010110101100000000011111011000000000000000000000000000110100000000110000000000000000000000000000000000000000000000000000000000000000110000000000000000010000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000001000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000100000000000000000000000000000000001010000000110010000000011000000001000000000000000000000000000000000000101001011100100100000000000000000000000000010100101000001010010001000000001000000000000000000010100101010100100000001000000001010000001000000000000000000000000000000000000000001000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000100100000010000000100000000000000001000000000000000000000000000000000010010000101000100100000000000000000000000000000000000000000000100000001000010000000100000001000000000000000000000000001001001100000000000000000000000000000000000000000000000101011001100101000000001100000000000000000000000000000000010010000001000000100000000000000000000000000000000000000000000000000000000000000000001000000001100000000100000000010000000000000000010001010001000000000000000000000000000000000000010000000000000010001000000100000000000000001010000001011100000000001001100100000000001000000000000000000010100100000000101000100010110001111000110000000000000100011000000000001100001000000000000001000000000101000100100000000000000000001000010000000011000101001000001010000000000100000000100100001100101000100000000000001100000100000100101000000000000101101000000000000011000101010100000001000000000000000010100100001000000000010001000000000000000001000000000000000001001000001001000000000000000010001000000000000000010000000010000000000000000000000000000000000001001010100000010000000000000000000000000000000000000000101000000100000000010001000000000000000000000000000000000000000000000010000000000100000010000000000000000000000010101000000100001010000100100000000010000000010100100000000000110110000000000000000000000000000010100000000000000000000010010000100010000000000000010000000000000000000000000000000000110001001001100000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000010000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011011010111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000010010000000000000000001000000000000000000000000000000000000000000001000000110000000000110000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001001000001000000000000000000000000000100000100100000010000000000000000000000000010000000000000000100000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000010000000000000000001000000000000010000000000000100100000000100000000000000000101000000000000010000010010000010001001001001000000001000000000000000000000001000101000000000010000000001000100000111000001001000000001000100010000001110000111000001001000000111000001001000000001000000000100000000000110000100000100100111000000000000010100010000100110000000101000010001000000001000000001000100000001000000100000000000100000000101000000001000000010000000010100000000010001000000001000000000000000000000000001000000000000010000000110000000100000000000000000110000000110000000010100000001010000000000001000000000110000010001000101000000000010001000001001000000010000000000000000010000000100000000000000010000000010000000010000001000000000010001010000000000010100000000000010000000010000000100001101000000000000000000010010010000000110000000100000000000001000000000000000110000000000000000000001000000000000000100000000000000000110000000000010000000000010000000010000000000000000010000000000000000000000000000000000000000000100000000100000000100000000100000000000000000000101000110000101000100101001000100000000101001000000010000100010000110000100000000101000100000000000001101000000000000000000000000000100000000001001000100000000000000000000000000110000000000000100000000000000000100000000000000000000010001001010000001000000000000100000000101001000100000000000001000000100000000000000000000100000000000000000100000000000000100010010000000100000000000111000000000000000000000000000010000010000000010000000000000000000000000000000000000000000000000000000000000000100000000000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111001110111101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000001000001100000000000000000000000000001100001001000000000001000000000000000000000000000000000000000000000000000000100001000000000000010100000000000000000000000000000001000001000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000010010001010001000010000000000000000000000000000000000000000010000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001010001100000101100001001100011000000000000100000000000000000011001100010011000001010000000010000000001100001000000000100010010000001100100000001100010000010100010010100000110000000000100110000000010100010010100000010100010010000000000000000000000100000000010110010001000100010100000000100001000010001000110000001100100000000100000010000000010001000100001001000000000000000010001100000101100110000000000000000000000000001000000000100000100000000000000000000000010000100000000000100110001100000101100011001100001000000000000000011001000000000000011000001000110000000000000000000000000100000000000000000000000000000000100000000000100000100010000000000000000000000000000000000001100000101000000001100000011000001100000001000000001100000000000010010000000000000000000100000001000001000000010000000000010000100001100000000000001000000000000000000000000000010001000010010001000000000010001100000000000000001000000100000001100000000000000001100100001000100000000000000000001000000000000000000000000000000000000000000000000000000010000000000000000001000000000000000000000000000000000010000000010001000100000000000000001000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000001000011000000000000000010000000010000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000100000000000000000010100000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010011110011010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000101100001000011100000000000000000000000000000000000000000100000000000000001100000001100000000000001000000100000110000000000001111000010000110101100000000000000000000100000000011001001001011000001100100011000000000000000000000100000000100100000000110001011011000100000000000000000000000000000000001100011000000000111100000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000100000110000000001110010111000000000000001000000100000000000000001000000000011011110111100011000000001000000110000001100100000110000000111100000001001111000000001000000110001110000100001110000000111110110011001111000000001000000110001110111100001110010000110001100100001111000000000000000110000000000000000000000000111100000000001011000000001000000010001110001100101100000001011000000010100100000000000000000000000000011010000000000000100000010110000000000000001000000110001110001100100100110000101000000000000110000000001000000110001010000000001001001001111001100000100110000000001000000110001001000000000100001001011000010000100011000000001000000110001111001100011100110001011000000001101111000000001000000110001111011010011011011000010001100001001111000000001000000110001011011110011000000000001100110101101111000000001000000110000001000000111100011001111001100010101001000000000000000000000000111110001000000000010011110000000000000000000000000000000000111100010001000000000100110000000000000000000000000000000000111100001000000000001100000110100000000000000000000100000000011000000000000000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001110001000011101100010100000000000000000000000000000111100000000011000100000110110000000000000000000000000000000001100000001001000000111110111100000000000001000000000000000100100010000000000000000000000100000000000000000000000000000111100011001001000110000000001100000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101101101101100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000001000100010000000000000000000000000000000000000000000000000000000000010000000000110000000000000000000000010010100000000000001000100001010000100100000000000000000000010000000000000000100000110000010000101000000000000000000000010000000010000000001000000001000110000000000000000000000000000000000000100000100000000100000000000000000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000010000000000100001000001000000000000000000000010000000000000001000000000010110010101100001000000000000000110000000000000010000000000100000000000000100000000000000000110000110000000100100000000100011000001000100000000000000000110001000000000010100000000000000000000000100000000000000000110000000000000000000000000110100000000000001000000000000000000001000000000000100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000001000000000100000000000000000000000000000000001000000000000000000000010010100000100000000000000000000110000000000000000000000000001000000000100100000000000000000110010101001010000011000100000000000010001110000000000000000110001000000000010000000000000000000100010000000000000000000110010000000100001000000000100010000100100001000000000000000110000000000000000000010100001010000001100100000000000000000000000000000010000000000000000001000000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000100000001001000000000000000000000010000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000001001010100010001110100011000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000010100000000000000100010110001100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000011100001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000010000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000010000000000000010000000000001000000000000000000000000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100100000000000000000000000000000000000000000000000000010000000100000000000000000000000001000000000000010000000010000000000001010000000000000000000000000000000000000000000001110000100001010000000000000000000000100000000000011000000100000000000101010000000000000000000000000000000000000000000001000000000001010000000000100000000000101100000000000000001000000000000000000000000000000000000000000000000000000000000100000100001000000000000000100010000000101100000000000000010100000000000000000000000000100010000000001000000000000010100001000000000000000000000000000000000001001000000000000000100000010000000000000000000000000000000001000000000100100000000000000000100000010000000000000000000010101000000000101001000000000000000010100000000000000000000000010000000000100000000000010000000101001000000000000000000001000000000000100000000001000000000000010000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000001000001000000000100000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011000001000000001010000000100000000000000000000000000000000000000000100100000001000001000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100100001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000100000000000000001000000000000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000010000000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000100000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000100000000001000000000000000000000010000000000000000000000000000000000000001000000001000000000010000000000000000000000000000000000101000000001000001000000010000000000010000000100000100000010000000000000000001000000010000000000010000000000000000000000100011000000000001000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000000000000010000100000000000000000000000000010000000000000000000000000010000000000000000000000010000000001000000000000000000000000000000110000000000000000010000000000000010000000000000000000000000110000000010000000000000000000000001000000000000000000000000010000000010000000000000000000000000000000000000100000000000000000000010000000000000000010001000000010010000010001000001000000000010000000000000001000100000000000001000000000000000001000000010000000000000000000000000010000000000001000000000000000000000000000000000000000001000000000010000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000100000000000000000000000000000000000000000000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010111110001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000001111000000000000100100100000000100100110000111010111000000001011000000110100000000110000000111100010000011011101000100011110000000011000010001110000100111100010001110001111010000001111001000111100110001111101100110110010001110000000000000001111011110000000000001111000000011000000001110011011001010001110000100110100000001110000000110010000001111011011000000001111000000100100000001111000000011110000001100000000000000000000000000000000000000000001000000000010000000000000000010001111001100111000000000010011100010000100000111011011000110001111000000111001100001111000000111110010001011000000001001000000010000011000000000110101100000011001000000011111111111000000111101001100000001111010100000001110000000000111101110000000101100001100000000110001100100101001000000000000000000000000000000000000000000000100100000000000000000000000011111001111111100000100000001110000000011111001000011011010000100001100000001111100010001111000100111101001011111000000000110001111001110011110000000100001000100000110000111010111001101001110111100111100000001111011101111100010001110000000000000001110111000001000000001110000000111000110011111011111000010001100000100001010010010010000000011000010000011000000001101001111111100011100100001100001100100111111001110010000010110100110111100001100000001110101110010100000001111000000000100001111100100101100000001110001101111101000011111011011000000001100000000000110010000110000100101100000001110001101001100000110000000001100000000110000000101100000001111010011000100000110000000001100000000110001010101100000001110000000000100000000001000000000000000011000001011000000000000000000000010000000000000000000000000000000000000000100000000011000000000000000000100000100000000011001110000100000000111011011000110001010000001111100010001111000000111100010011011000000000000000110000000001100010001010100100111100000010111011011001100001010111101111100000000110000000101100000001110001101000000000110000000001100000000110000000111101000011111000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011001001011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000101010100000001100000000010000000001000000000000000000000000010000100000000101000000000000000000000010000000000000100000010000000100001000000000100000000001000001010010000000001000000000000010000000000000100000001000000000000100001000000000010000000001000010000001000000000000100000000000100000000000010000100100000000000000100100000000010000000001001000000100000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000010001000000000000000001000000010010100100000000001010100000000000000010001100000000001000001000001000000000000000000000001000000010000000000010000000000000000000000110001010000000000010000000000010000000010000000001000000000000000001000000000000001100000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000001000100000000010000100000000000000000010010000100000000110000010000100000001000000100100010000000000110000001000000000001010100000010001000000000000010000000000000010100000001100000000000100000011010000000010101000011000000000000000000000000000000000000010000000000000000000000000011010001000000100000100000000000000000000100000000000001000001000100001000000000000001000001000001010010000010100000000000000000000000000000000000101000010000000000000001000000100000000001000000000000010001000000000001100000000001000000000010001100000000000000000000000000000000000000000000000000001000000000001000000000001000101000000000000000000000000000000000000100000010100000000000101000000000000000000000000000001000000000001000000000000000000000000000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000010000000000000001001000100000000000000000001000101000001000000001000000000001000000000000000000101000000000000000000001000000000110000100000001110100001000000000011000000000000000000000001000000000001000010000000000101000000000000000000000000000001010001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101011111100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000001000000000000000000000000000000001000000010000000000000000000100000000110000000010000000000000000000000000000000000000001000000000000000001010010000000000000001000010001000000100001000000001001000000000101010000000001001000010000000000000001000000010000000000000100000000000000000001000000010000000010011000000001000000000100000000100001000001000000100000000001000000000000000000000010000000000101000000100000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000001000000000000000000000000100000000010010000000000000000001000000000100001000001000000000000000000000000001000000000010000010000000001000000000000000001000000000000110010000000000100000000000000000000000000000000000000000100110000000000010000000010000000000000000000000000000000000000000000000000000000010000000000000000000000000001000001000000110000000000001001000000000101000000001000000000000000010000000010000000000010000000100000000001000000000000000001000000000010000100000000000000100000000000000000000000000100100000111001000000000100000010000000001001000000000000000001000000101000000000010011000000000000000010010000000000000010010000000000000100000000000000000000000000000000000010000000010000110000000000000010000000000011000001001000010001000000000000100010000000000010010000000000000001000000000000000000100000110000000000000010000010000000000000010000100000000000100000000010000100010000000010000000000001000000000000000000000000000010000000010000000001100000000001001000000010000000000000000010000000010000000000000000000001000000000000000100000000000000000000000001000000000000000000000000000000001000000000000000000000000000000000000000000100000000100000000000000000010000000000000000000000000000000000000001000000000000010000000100000000000010000000001000001000010000000000000000000000000010000000000100000100000000000000000000000000000000010000110001000000010000000000000000000001000000000000000000000000000010000000010000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101010100100100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000001010000000000000000100000000000000000000010000000000000000010000000000000000000010000000000100110010000010000000000001001000000000000100000010000000000100110000000100000000000000000011000011000000000100000100010100010000000100000000000000001010000000000000000100000000000000100000001000000000000100000000001000001000000000100000000000000000000100000100000000000010000000000010000000001000000100000000100000000000000000000000000000000000000000000010000000000010000000000000000000001010010000010010000000000010100000000000000010000100000000000010000000000111000001010000000011000000000100000000000000000000000000000000000000100000010000000000000000000000000000000000000010000000000001010000100000001010000000000000001010000000000000000000000000100000010100010000000000000000000000000000000000000000000000000000000000000000000000000000001000100100000010000000000100000000000000000000000000000010000000000000000000000010000000010100000010000000100000000000000000001010000000000100000000000000010000000100000011000000000000000100000010000000000000000010000100010000001100000000000000011000000010000000000000000000000000110000000000001000100000000000001000010000000000000000000010000000000000000000000000011000010001000000000000000000100100010010001000000000000100000000001010010000000001000001000001000000001010000000000000011000000000000010000000001000010100010000000001000100000000100000000000000000100000100000000100010000001000000000101000000000000000010000000000100000001000000000001000000010000000000000000000010000000000100000000100010000001000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000010000001000000000100000000000001010000100000000000000000001010000001000100000000000000000000000000000000000010000100000000001000000110000000001000000001000010000000011000000000000100000000100010000001000000000000000000000000000010000000000100000000001010000100000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111010101000000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000111100000000011100100000000000001111000000000110001111000000111000000001111001000100100010001101000000011110000000000000100100000001111011100100100000000111000110001001001111000000110100100000111011010011111111001111000000011110000000000100011001101000011000000000001101101111000100000000000001000000110100000000011011000101000000001110011011001101101001000000111111000001111011000111100000000110000000001010000000000000000000000000000000000000000010000000000100001000001111011000111100010000000000000000000010001111000010001111000000011100100100010011111000000000000010001111000110001110000000011100011100000000110000100000001011000000001110001100001111111100011100010001111000100111100100001111000110000000000011001100011100000000110000100011011111000011000000001110000000011000011000000000000000000000000000000000011110000000001111011100011110010001111000000000001101001001000010001101001111000001111100000011111000100111100000001110000110000100000000000000100100000000000000000000000000001111001100011110010110011000011100000001111000000011100110001001010110001110000000000000111100000000000000000000000000001111000110011110000011000000001100100100000001001001100010011111011110000110000010001000011110010011111000000100100110100010011110011010001000000000011100100000110010000111101101000010010010000000000110111100011100000000011000000111100110000000001000001100000110011000101100000000110000000000000000001111000100000100001110011000011100000000110000000011100000001100000100001101001001000000010100000000110000000101101000000101000000011011000000000000000000100000000011010000000100000000000010000100000000000000000000000000000100000000000000000000000000000000001100000001001000000000111011000011001010010110000000001101000110000100111100000000110000100000000010001110000000000100000110000000101100100100101000000000000000000110010110001101000110000101101100000000110000000101100010000111000000001000001111000000111000000000110000100001100000001101000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000111111001111111111111111111111111111111111111111111111111 -00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000001000000000100000000000000000000100000000000001000100000000000000010000001001000000000100000001100000000000001000000000000000000000001000001000000000000001000000000000000001100000000110000010001000001001000000000100010000000000001000000010001001000010001001000000000000000100000000000100000010000000001000000000100000101000001000000000000000000000000100000000000000010001100100101000000010000000000000000000001000000000000000000000000000000000000000000000000000010000001100000001000000010000000000000000000001000100000000000001000000000001000000100000001000000000000000000001000001010000001000000000010000110000000000000000000000000000000000001000001001101000010000010000001000000001011000000001101000000000000100001000000000010000000000000101001000000100000000000000001000000000010000010000000000000000000000000000000000001000000001000000010000010000010101000000000000010100000000000000000010001000001000000000001000010001010000000000000001010000010000000000000000000000000000000000000000000100000000001000001000000001000010010000100010000000000010000001000000000000001000000000000010010000000000000000000000000100000001010000001100000000000000000000000000000000000101000100010000001001010000000000000011010000100000000000000000100000000000001000001000000000000000010000000101000010000110000000000000000000000000101000010010010000001000000000000010000000000000001000001000000000010010000000000000000000000000000010000000000000000000000000010000010000000000000001001000000000000000000000000001000000001000100000000000000000000000001010000000000000000000000000000000000010000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000100010001001000001001000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000001000000010100000000000000000000101000000000000000000010000000000000000000000000000001000001010000000000001100000000000001010000000000000000011000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000110101100111111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000110000000000001000000000010000001000000000000000000010010001000100000000000100000000000101100000000000011000000010010100010000000000000001010000000000000010000000100000000000001000000000001001100010000000000101100000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000001000000010011001001000000001000000000000000100000010000000000000000010000000000000000000000000000000000000000000000000000000010000000000000000000001000001001100000000000000000000000000000001000000001010000000000001010100000001000010000000000000001010010000000000000100000000000000000000010000000000000000000000000000001100000100010000110000000000000010000010100000000000010000000100000000000000000000000000010000000000000001001100000000000000001100000000000000000000000000000000000000000000000000101100000010010000000000000100000100000000000000000001000000000000000010010000000000000000011000000000100000000000011000000000000000000000000010000000000000000000000000000001000000000100101100000100000000000000001000000000000000010000010000101100000100000000000000000000000000000000000000000001000000000000101100000000001010000000000000000000000000000001000000101100000000001001000000001010010010000000000000000000000000101100101000100000000010010000000000000000100000000000001000101000000000000000110000000000000000000000000000000000000000000000000010000000000001000000010000000000000000000000100010000010000001000000000010000000010000000000000000000001000000000100000000100000000000000000010000000000100100000000000000000000101000000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000000000000000000000000000000000010000000000000000000000001000000000000000000000000000000010000000000000000000010000000010000000000000100000000000000110000000000000000000000000000000000000000000000000101100000010000000000110000000010000000001000000000000000000000000000001000000000001000000010000000010000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110100111110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000001010000000000000001010000000000110000000000000000000000100000000000000000000000000000000000000000000100000001000010000100000000010000000010000000000000000000100000000001010000000000010000000000000000000000000000000000000000000000000000000001010000000000000000000000000100010000000010000000000000000000000000000000000000000000000110010000000010000000000100000000100000000000010000000000000000000000000000000000000000000000000000000000000001010000001000000010000000000000000000000001010000000000000000000000000000000000100000000000000000000000100000000000100000000000110000100000000100001000000000100000000000000000000000000000011000100010001010000000000000001000000000010000000000000001011000100000000100000000000000000010000000000000000000000000010000100000000000000000000000000000000000000000000000100000111000100100000000000000000000000000000000100001000000000000000100010000000000000000010000000100000000000000000000000000000000010000000000000000000000000001010000000000000100000000000000100000000010000001010000001000000000000000010000000000000010010000000000000000000000000001010000000000000000010000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000100000000000000000000100001000000000000000000000000000010000100000010000000000000101100000000000000001000000100010000010000000000100000000000000000001010000000000000001100010000010000000000100000001000000000001000000000000001000000000000000000000000100000001000000000000001000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000000000001000100000100100110000000100000000000000010100100000000000000000100000000100010000000000000000000000000000000000000001000000100000000000000000000100000000001010000010000000000000000001010000000000000000000100000000000000000001000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101011000100010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110011100110000000000000001001100000100000000000000001100100100010100000001100000100111100000000111000000000000011111011100101100100001111001100111100110000110000000010100010111110000001010010000011000000011100010001100000000000010000000011100111100010000000000001001000010001100000000000000011111010000011100000001010000000101100000001110000000001100001011000000111100000001111100100100100000001111000000000000000000000000000000100000000000000000001100000000000000000110000000001010111110010000000001000000000100001110000000000000000011011100111100000010011001011101101101000000000000011111000000101100011101011000000000100000000000000000000000010010001111101100011100110001111001100000001001000000000000010110100110000000000101111000000011100000000000000000000000011110000000111100011000000000000000000000000000000000000000011110001111000000001001111001111110000111100000000110000000000000001111001010111100000011111000001111100000001111000000010010000000101010011100110000000001100000010000000100000000001100001111111100011110000001111000000011100000001111000000010110000011011000111110100000010011010000000000000010000000001111000111011000011100110000111000000001100000000111000000000000010111101100111100000010110000000100100100001111000000000000000100101100111100000010010011100101100000001111000000010010000111000000100100100000111011000100100000001100000000000010000010000100111100000000010001010110100110001111000000000010000111000000001100000001111001000000000000000000000000000000000111000000011100000001111000000000010110000011000000000000000100111100011000000000000000000000000000000010000000000000000000000000000000000000000010000000000000000000000000000010000111000001001100010001110000100000100010000111000000000000001111000101011100010010111000000000000100000011000000000010000000000100001100010000000000001111100010001111000000000000001111100100101100000001111000000001100000010111000000000000000111000000011100000001111000000000000000000000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000010100001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000001010000000000000000000001000000000000000000000000010000000010000000000000001000000000100000000000000000001100000010000000000100000000000000011000000000000000000000001100001000001000000001000000000000010000000010000000000000000000000010010010000000000000000000000000000100000000000000010100000010000010000000001000000011000000000001000000000001001001000000100000000100000000000000000000100000000000000000000000000000000000000000000000000000000001000000000000001010000000000000000010000000000000000000000000000000000000000000100000011000101000000001000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000000010000000000000010000001000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000001000000000010000010000000000000000000000000000000000000000001100010000000000000000001000001001000000000000000000000000000100000000000000010000001000000001000000000001101000000000000000000000000101001010000000010000000000000000100000000000001100000000010000010000100000000000000010000100010000000000010100000000010000010010000000001000000000000000000000000000100001010000010000011010001001000000011000000001100000000000000100000000000100000000000000000000000000000100000000000000000000100000000000010000000000001000000000000100000000000000000100100000000000000010001100001000000000000000000000000001000000000000000000010000000000000000000010000001001000000000000100000000000001000000100000000000000000000000000000000000000100000000000000010000100000000000000000000100001000000000000000000000010001010000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000001000100010000000000100000000000010000010001000001000000000000000100000010000000010000001000000000000000000100000000000001000000000000000010000000000000000001000000000001010000000000000010011000000010000000100000000000011000000100100000000000000100000000001010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111111000101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000000000000000011000010000000000000001000000000000000000000000000000000000000010000011110010000001001001001001000000000000000000001000000000000100000001000000000000000000000000000010000000000001000000000000100000001000000000000000000000000010000000000000000100000000010000000000100000000100000000001000000000000000000100000000110000000000011010010110000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000100000000000000100101000000000000000000000000001000000000000000000100000000000000000000001000000000000110000000000000000000000000000000000000000000000101000100000110000010010000011001010000000000000000000000000101010000000000000000000000000000000000000000000000000000000101100000000110000000000000000000000000000000000000000000000101100100000000000000001001001010000100100000000001000000000000001000000000110000000010010000000010000000000010000000001001000000000100010000000000000100000000001000000000000000000000100010000110000000100001000000000000100000001000000000001010000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000001000000000000000000100010000000010000000000000000000001110001000000000000001001100000000000010000000000101000000000000000000000000000001000100000000000010000000000000000001000010010100000000001000000100000001010010000000000000010000000000000000000001000000000000000000000000000000000000010000000000000000000001000000000000000100000000000000000000010000000110000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001000000000000000010000000000000000001000000000010000000000000000000000000000000000000000000000000000000001000000001000000000000100000001000011000000000000000010000100100100000001000000000000000000000000000000000000010000000001000000000001000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001010100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000100000000000000000000000000000001000000001000001000011010000110000000000000000000000000010000000000001000100001000001000100100000000001000000000000000000000010000100000001000100100000000000000000000000000001010000100000000000000000000000100000000000000000000000000000000010000000000000000000000000000001000000000001000000000000000000100000000001000000000000000000011000000000000000000000000000001000000000000000000001000000000000000000000000000000001010010000000000000000000000000000001000000000000000100010001000000000000000000001000001000000000000000001000000000010001000100010000000000100000000000000000000000000000001010000010100100000100000000000000000000000000000000000000000100000000001001010000000000010000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000010000000010001000001000000001000000000100000000000000000001100000000000100000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011000100000001100000001000000000000010000000000000000010000010001100000010000000000000000000000100000000001000000100000011000100000000001000000000000000000010000000000000000001001000100100000000001000000100000000100010000000000000000000000000001100000000000001001000000000100010000000000000000010000000100010000010000000000001000000000001000000000000000000000000000010000000000000001000000001000000000000000010000010000001000000000001010010000000000000000000000000000000000010000001010000000001010000000000001100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001000000000000000000010000000000000000001010000000000000010100000000000000001000000010000000000000000000001000000000000000000000001000000000000000000000000000000000001000000000000001010000000000000000000000000000000000000010000000000000000001010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101011011101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111111111111111100100000001011111111011111110000100000010000110111011111111100001000000001110111101010100000100000010000001100111010101000001000000010110011110011010000100000010000110001001111110100100000000000000000000000000000000000010000111111111011011100001000001000000101011011000000100000010000100011100101111100001000000000000000001101110000100000010000101011110100101100001000000000000000000000000000000000000000000000000000000000000000000000000000111100000000100000010000000011110001011100001000001000000000111111110000100000010000110011001111000000000000000000000000111100000000000000000001001111111111110010000000000011111111111111001000000000000001001111111111110010000000000011111111111111001000000000000001001111111111111110000000001000000000000000000000000000000000111100000000111100000000001000000000110101110010000000000100111011011111111100001000000001000010101111110000100000010000111111100010001000001000000000000000111100000010000000000100000000001111000000100000001011111111110110000010000000000100111010111110001000100000001000000000111011100000000000010000010111111111110000000000000000001101111100000000000000000000010111111111111100000000000001010000111111110000000000000000000000001111000000000000001011111111111111100000000000000000010111111111101000000000000000000000111011100000000000000000001111111111111100000000000000000000001101100000100000010001111100111100111110001000000011011101000000001000100000010001101101011010110110001000001000011101000000001000100000010001001111110011110010001000000000000000000000001000100000000000000000001111111100100000000000000000000000000000000000000000000000000000000000000000000001010101000000000000100000010000111011111100111000100000001011110000111100000000100000010000101010101101111100001000000000000000000000000000000000010000100000100111111100001000000011011101111111010010000000010001001111111100111110001000000000001111000000001000100000010001101101011010110110001000001000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101010100001011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111111111100001000000000010101111111110000100000010000110111011101111100001000000001110111101010110000100000010000101110111110101000001000000011110011110011000000100000010000111101000000001100000000000000000000000000000000000000010000011111111011011100001000000011111010110011000000100000010000100011100101111100001000000000000000011111110000100000010000000010100100101100001000000000000000000000000000000000000000000000000000000000000000000000000000111100000000100000010000000011111101110100001000000000000000111111010000100000010000111111111111000000001000000000000000111100000000100000000001001111111111110010000000000001111111111111001000000000000001001111111111110010000000000011110111111111001000100000010001001111111111111110000000000000000000000000000000000000010000111100000000111100001000000000000000111111110010100000010100111111111111111000001000000000000010111111110000100000010000111111110010001000001000000000000000111100000010100000010100000000001111000000101000000001111111011100100010100000010100010000010010111000101000000000000000111010110010100000010000010111111110110100101000000000001010111100000010100000010100010111111110000100101000000011111111111111100010100000010100011111111111000000101000000001010101101010110010100000010100110101111110101100101000000000000000101110110010100000010100101101111110111000101000000000000000110011000000100000010001001111000011110010001000000000010001000000001000100000010001101101011010110110001000000011010001000000001000100000010001001111111100111110001000000000000000000000001000100000000000000000001100010100101000000000000000000000000000000000000000000000000000000000000000000010101010000000000000100000010000111111111100100000101000000000001111000011110000100000010000101110110111011100001000000000000000000000000000000000010000010011011010101000001000000010001000110011010010100000010001001111110011110010001000000010011001000000001000100000010001101101011010110110001000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100110010001000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111111111111111000000000000001010111111111010001000000001000111110111111000000010000000000110011101110110001000000001000101110001100110000010000000001111111000111000001000000001000001101110010000100000000000000000000000000000000000000001000000000000000110000010000000011111101111100000001000000001000111111110000000100010000000000000000001100110001000000001000000010011111100100010000000000000000000000000000000000000000000000000000000000000000000000000000111100000001000000001000000011111111111100010000000000000000111100000001000000001000111111111010000000010000000000000000000000000001000000000001001111111111110010000000000011111110111111001000000000000001001111111111110010000000000000000000111111001000000000001001001111111010110110010000001000000000000000000000000000000000000000000000000000010000000000000000001101110001000000001000111111111110111000010000000001010101111111010001000000001000001000101110111000010000000000000000111100000001000000001000000011111111111100010000001001111111111110100001000000001000001110101000010000010000000000000000000000000001000000001000000011111111111000010000000011111111111011100001000000001000000011111111000000010000000000000000111100010001000000001000100111111111100100010000000001111111111010110001000000001000100111111111111000010000000000000000111111100001000000001000000000001110110100010000000000000000000000000001000000001001001111000011110010010000000001101001111111111001000000001001111111111010110110010000000000000001111111111001000000001001001111111111110010010000000000000000111111111001000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000101011110000110001000000001000011101111111000000010000000010100101100001110001000000001000111101110110100100010000000000000000000000000000000000001000001111110100110100010000000011111111000111010001000000001001001111111111110010010000000000110011111111111001000000001001101101011010110110010000000000000000111111111001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100011111111111111111111111111111111111111111111111111111 -00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111100000000000001110111111111010000000000000000111111111111111100000000001011111111011101110000000000000000100010001111111100000000000011111111010011000000000000000000111111110010101100000000000000000000000000000000000000000000000000000011000000000000000011110100111101000000000000000000110101000000001100000000000000000000100100110000000000000000100111111001000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000011110000111100000000000000000000111100000000000000000000111111101010000000000000000000000000000000000000000000000001001111111111110010000000000011111111111111001000000000000001001111111111110010000000000000000000111111001000000000000001001111111010110110000000000000000000000000000000000000000000000000000000000000000000000000000000111110110000000000000000111011011111111100000000000011111111010111010000000000000000011000101110111000000000000000000000111100000000000000000000000011110111111100000000000011111111000010100000000000000000001101011011011100000000000000000000000000000000000000000000000011111111111000000000000011011101111111110000000000000000000011111111000000000000000000000000111100000000000000000000010111111111101000000000000011111111111110100000000000000000010111111111111100000000000000000000111111110000000000000000101010101110111000000000000000000000000000000000000000000001111100111100111110000000000010010110111111111000000000000001111111111010110110000000001011111110111111111000000000000001001111111111110010000000000000000000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100100110000110000000000000000111111111111000000000000000001011010110100100000000000000000111111011001011000000000000000000000000000000000000000000000000101011111111100000000000011101110000111010000000000000001001111111111110010000000000010100101111111111000000000000001101101011010110110000000000000000000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000101011111111010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110111111110111100000000000000000000000000001100111000011011111110111110110000000010001100001000000110001100011001111011111110011110110000000000000000000000000000000000000000000000110000000000000000000000000000000001100001000000000000011011110111111110000100000000000000000000000000001100001000011000000000000000000000000000000000000000000000000000000000000010010011111100010000000000011111011001000111011101011001111010100010001100111011001011011111011000000000001000011000011010111010011100111000000000000000000001100011001000011001111000000111100000110000000001000000000001100011000110000000000010001001100110110000000000000110000000000000000000000000000010110100010010001100000000001100011000000000000000000100000001000111111000111001100010000000001001100010001100010100000011110111100110111111111010100000000000000001101100011100000000000000000000110100000000001110010000000000000110110000000001000000000100010001110011001100011001100011000110000001111010000110100000101100000000001100011001100011001100010000010011110111111110111101110011001110011001110011001110011001001000000011111010011100000001000000000001100010001100011001000011110110111110011100110000001100011011100011001110011001111001100110101100111111111011011111011011111011011011011001111011100011100110011100000000001100011000000000000000000000000001100011001010011010011010010011011010001110010011011001111000000000000000001100000000000000000000000000000110110001000000000000000000000000000000000000000000000110011001110000000011000001100010110000000000000000000000000000000000000000000011110001110110111100000110000110000000110110001100011000000000000000000110000000000000000000000000000000000010000000000000000000000000000000000000000000001100010000010001001100000010000000000110110000110000000000000000000000000000000000000011010001110001111100000000000000000000010000001000011000000000000000000000000000000000000000000000000000000000000000000000000110010000110000000000000000000000110000000000000000000000000000011111001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110000010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000101000000100000000000000000000000000000000001000100000101000001000000000000001000000000000000000000000000000001000100000010010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000011000000101000010000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000001001001000010000000000000000000000000100101010000000000001011000001010100101000000000000000000000000000000100101001001000000001001000100000000000000000000010000000000000000000001000000011000000000000000100000000000010100101000000000000000000000000100000000000000000000000000000000000000000000000000001000000001000010000000000000000000000000000000000000100000000100011001100101000000000000000100000000000000000010000000001000100100000000100000000100000000000000000110100101100000000000000000000000100000000000000000000000000000000000000000000000000010000000010000000000000000000000000010000000001110001000000100000000100000000000000000000000000000000000000000011000110001000000110000000010000000010000000010000000000100000000100101100100100000000000000000010100001010100101000000001000000101000001100000000010100000001000000000000000010000000000000100001000000000000000000000000000000000000000000001001000000100000010000000000000000000000000000000000000000000010100101000100100000000000000000000000000000000000000000001000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000100000000000000000000000000000000000000000000000000011001000000010000100000000010000000010000000010100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001100000000000010000000000000010000000000000000000000000000000000000000001000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000010000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101101100000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000110000000100000000000000000000000000000000000100000010000000110000000100000000000000000000000000100000000011010010000001000100010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111000000000010000001000000001000000000000000000100001000000111000000000000000000000000000010000000000000010000000000000000000000000000000000000010001000000001000001001000000000000000000000000000010000000000000000000000001000000100000000001000000000000000000000000000000001000001010000000000000000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010000001010000100100111000000000000000000000000000000000000000000000000001001000000000001000000000000000001000000100000000000000000000000010000000000000000000000000010000000000010000000000100000001000000000000000000000000000000000000000000010000000110000000110000000010000000010000000010000000000010000000000000100000000000000000000000000000000000000000000010010000000110000000010000000000000000000000000010000000010100000010010000010110000111000000111000000111000000101000001001000000000000100100000000000000000000000000000000000000000000000000000010000000000101000000101000000001000100101000001001000000000000000100000000000000000000000000000010000000100010000000000000000000000000000000000000000001000100001001000000000000100001000000100000000000000000000000000000000000000000000100100010000100100001000100100000000101000100000000000000000000000001000000000000000000000000000000000000100000000000000000000000000000000000000000000100000100000000000000000000000000000010000000110000000000000000000000000000000000000000001000000000000000100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000000000000100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111011000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011001100011000000000000000000000000000001100001001000000100010001100010000000000001100001000000010001100011000000010000010000001000000000000000000000000000000000000000000000000100000000000000000000000000000000001100001000000000001000001000011001100000000000000000000000000000000001100001001000000000000000000000000000000000000000000000000000000000000000000000001011000000100000000000010100000000000000010100000110000000000000000000000010100000010100000000000000000000000000000100000001100000100000000000000000001000011001000011001000000000100000000000000000000000000000000000000000100000000000000000001000100000100000000010000000000000000000000000000000000100000000000100000000000001100011000000000000000000000000000000001000000000001100010000000000001100010001100010000000010001010010000000100010000000000000000000001000000000000000000000000000000000000000000001100010000000000000100010000000001000000000000010001000011001100011001100011000000000000000000000010000000001000000000001100011001100001101100010001000001000010001100011001000011001000011001000011001000011000000000000010000000010000000100000000000000000000000000000000000001100010001100001000100000000000011001100011001100011001000000010000100010010000010100000010100000010100000010100000001011000001110000010000000000001100011000000000000000000000000000000000000000010000000000000000100000000000000000100000001000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000001000000000000100000000000000000000000000000000000000000000001000010000000100000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000001000001000000011000000000000000000000000000001000011000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000000000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101010011101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001000000000001000000000000000000000001000000110000110000000001110010000010011100010000000000000000000000000000000000000000000000000111110110110000000000000000000000100000000000000001100000000011101000000000000000000000000000100000000000100001000111000001100000100000000000000000000000000000000000000000000000000000000000001100000000000001000000110010110101100111100010000010000010011000000000000001000000100000110111100000111011000110011111110100000000000000000000110000000011000010100010000011101100001000000000000000000000100000000001100111100001000011101000100000011000000000000000000000000101100001111011000101111110000000000000000001000000000000000001100001110110100110011010100100000000000001000000100000000111000001100000000011011111101100000000000001000000100000000001000011000000001011011000000000011000000001000000000000000000000000000000000000010000100100000000000001000000110001110000100100100001000000011100010000110000000001000000100001010111000011000010000000000000101100010000000001000000110001010100100000100001001001000000001100110000000001000000010001010001000000000111101101011011010100100000000001000000110001110011010011011001000111000000001000110000000001000000110001110100100001111001000011101000000000110000000001000000010001110000000000000110010001101010111100100000000001000000110001110011000101100100100001100000110000110000000000000000010000000000000000000000000011111000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000001001110110101100000000000000000000100000000101100000000011000001100000000000000000000000000000000000000000100000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000110000000000110000000000000000000000000100000000000000001100000000011011000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000110110010000000000000000000000000000000000000000000111100101110000000010010000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100101110000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000000000000100000000000000000000000000000110001000000001000000000000000001000000000000000000000000000000000000000000000000000010000010010000000000000000000000000010000000000000001010000010000000100000000000000000000000000010000000010000001001000001000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000110000010000100001000000000000000000000000000000000000000000010000110000000010010000000001010100001100000000000000000000110000000000000000010000101010110000000000000000000000000000010000000010000000000000010000000100000010000000000000000000000000000000000010000010000100000110000000000000000000000000000000000000000100000000000000000110000100000000000000000000010000000100010001010000000010100100100100000000000000000000010000000000010000000000000001001000000010000000000000000000000000000000000000000000000000001000000100000000000000000000000001100000000000000000000000001000000000000000000000000000000010000100000000000000000000000000110000000000000000000000000001000000000000010000000000000000000100000000000000000000000001000000000000000000000000000100000100000000000000000000000001000000010100000000000001100000000000000000000000000000000000010001001000100010000101100100000000000000000000000000100010100000000000000000010000000001100000000000000000000000000000010100100000000000010000000000000000000000000000000000000000000000000000000000010001001000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000100000000000000000000010000000010000000000101010000000000000000000000000000000000000000000010000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000010000000000000001010000000010100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001101000111101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000001001000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000010000100000000000000000000000000000000000000000001010100001010000001000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000001000000000001000000000000000000000000000000010010000000010010010001000000000000000000000000000000000000000011100001000000010000100000100000000000000000000000000000010000000000000000000001000010000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000100010000000001000000000000000000000000000000000000000000000100010000000001010001010000000000000000000000100000000000000100010000000001001010000000000000000000000000000000000000000100000000000001100000000000000010010010000000000000000000000100010000000101000000101000010100001000000100000000000000000100010000000101001000000010100000000000000000000000000000000100000000000001000000000000000010000110000000100000000000000100010000000101000000000000000000000100000000100000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000101000000000000000000000000000000101000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111011010010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000001001000000000100000101010000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000000000000000000000000000010000000000000100000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000010000010000010000001000000000001000000000000000000000000000010001001000000000010010000000000000000000010000000000000000000000100000000000000100000000000000000000010000000000000000000000000000000000000000100000000000000000010000000000000000000000000010000000000000001000001000000000010000000000000000000000000000000000000000000000000000000000000000000010000000000100000000001000000011000010000110000000000000000000000000000000010000100000000000000000000010000000000000000010000000000000000000001000000000000001000110000000000000000010000000000000000000001000000000000000100100000000000000000010000000000000000000000000000000000000000110000000000000000010000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000001010000100000000000000000010000000000000000010000000000000000000100110000000000000000010000000000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000000010000000000000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000011100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001111000000000000000001000000100000100000001111011011100000001111001110011000000000100000000111100000000111011111000000001110000001111101100011011000000111100000001111000000001001000000011000111100010000011001110010001101000010011000000000000101000000111100110001111000000010100000001101000000010010000000001100000000000000000100100000010010000000011010000000011110111100011010010000110000100000000100000000011011000110100110111100111100000000110000100101101111001110000111000000001100000000100100000000000001100000000010000000000000000000000000000100001100010001111111100111100000000110011111000000000010011000001100100001111000000011100010001100010010010010001110000100101100010000110010000010100000001100011011000010000011001000111100000001111000100011100000001111011010000110100011000001111100100001111000000111100000000110000000000000000000001110011000000000000100100000000000000000000000000100000000001110011100000000010001110010001111000000000000000000000000001110001100000000010101010001100100001001000000000110000000001110010100000000000001110111000010000100011011001111000100111100110000000000110000000000000110001111000000000000000000001110001000000000000101110000000110000000001010000100110111111100001000000000000001100111111111001111001111000000000100001110011000100000000000100011000010000000011111011110100111111100100100000001100000000011100110101111000000000000001111000000000000000001100000000000100000001101000000000000000000000000000000000000000011000000001101000000000000000000001111011000001100000000001000000101000100001101000000000000001100000000000000000010011000000100100010001100011011000000001111000000011100100001111000000110100000001111000000000000000000000000000000000000000000000000000000000000000000000100001111001000111100010000110001000011100110001111001011000000000011000100011000000000110000000000000000010011000000000000000000000000000000000000000100100000000000000000011011000000000111000000011100000000110000000101100000001110000010100000000110000000001100000000110000000101100100001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011010000010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000100000000000100000001000010001000000000000000000001000000000001000000000000000000010000001000000001010000000000010010000010000000000000000000000001001000000000010001000001000000010000000000100000000100000000001000000010001000000000000010000001000000000000000000000000000000000000000000000000000000000000000000010000000000100000010001010000000000000000000000000000000011000000000000101010010111000000000000010000001000000000001000000000000000000000000010000000000000110000000000000000000000000000000000000000000000000100010000001001010000000000000000000000000000000001000000000000010001000001001000100000000000000001000000000010000001000000000000001000010010000000000011110001000100000000001000000000001000010000000010000010100000101000100001001000001000000000100000000000100000000000000000000000000000000010001001000000000000000100000000000000000000000000010000000010000000010000000000000000000011000000000000000000000000000000000000000000000000000000000000000010000000000001010000000010001000000000000000000000000010000000000010110000000000100000010100000000000101000000000000000010001000000000000000000010000000000000000000000000000001010000000000000000000001000000010000000000000000100100000010000100000001000000000000000010000101000010000000000000001011000000000001101001001100001000010000000000000010000000001010100100101000000000000100000000000000000000000000000000000000000001000000000000000000000000000000000000000000001000000000000000000000000000000100000100010000000000100000000000000000000001000000000000000000000000000000000000001000000000000000000000000000000000000100000000001000000000001000000000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000000000000001001000000001001000000000000100000000001000000000000000000000000000000100000000000000000000000000000000000000000000000100000000000000000000000000000100000000000000010000000000000000001000000000001000000000000000101000000000000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000011100010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000001000000000000000000001000001010000000010010000000000000000000000000000000000000000000000100100000000010000000110000000001000000000001000000011000000000000000000000000000100100001000000000010000000000000001001000000000000000000000001000001001000000000000000000000010000000001000000000000000000000000000000010000000001000000000000010000000000100000110000001000010000000000000010000000000000100000000000000010000001000000010000000000000000000001000000000000000011000000000001000000000000000000000000001000000000000000000000000000010010000000000100110000100000000000000000100000000000000000000000000000100010000000000000000000100000100101000000011000000110000001000000000000000000000000010000000000000000000100001011000000010010000000000000000000010000000100000000000000000000000000010010000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000100000000000000000000000000010000000000000000000000000000010000000000000000000000000110001000000000000000000000010000001000000000000000000000000000000100000000000010000000000000000000000000000000010000000110000000000000000000000010001010011000000000000000000000000000000000000000000000000000000000000000000000001000000000000110010000000000010000000000000000001000000000000000001000000000000000000010100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000001100000000001000000000000000010100000000000000000000000000000000000001011000000100000000001000000000010000000110010000000110000000001000000000000000000000000000000000000000000000000000000000000000000000000000101000000000100100001010000000000010010000001000010000000000000000000011000000000000001000000000000000000000000000000000000000000000000000000000000010000000000000000000000100000000000000000000010000000010000000000000000000001000000000000000000000000000010000000010000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100101111111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000100001000000000001010000000000000000100000000000100000000001000000110010000000101000100000000000010000000000000001000000000000010000000000100000000000000000000010001000000000000000000000000000000000000000000000000100000000001000100000001100000001000000000000001000000000010000000000110000000000000000001000000000010000000000010000000000000000010000000100000100001000000000000000000000100000100000000000010000000000000100000000100011010001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000011000100010000000100100001100000000000100010000011000000000001000000001000000001000000000000000100000000000000000000100100000000000000000100000000000000000000010000000000000000000100000001000100000000100000000000000000000000000100011000000010000000100010000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000100000100000001000000000000000000000000000000100011000000000000000000011001000000000000000000000000000000000000000000000000001000000110010000000001000001100000000000010000000000000000000000000000010001000000000000000000000000000000000000000000001000000000000000000001000100000000000000010010000000000000000000010010001000100000100000000100000000000000000000000000100000000000000000000000000000000100000000010000010000000000000001000000000000000000000000000001010000000000000000000000000001000000000001000000000000000000000000000000000000000000000010000001000000000000000000000001010000000001000000000000000000000000001001000000000000000000000000000000000000000000000000100010000000000000100000000001010000000010000000000100000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000001010000011000000000000100000010000000010001000000000000000010000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000100000000100000000100010000001000000000000000000000000000010000000000100000000100010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000100000101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000010000000000100000000000000001111000110000110001110001110011000100000100100100111101101000111000010000010001110000000111100000000011000100111100000000110000000000000001111000100111110010000000000000000000110000000000000000110000010000001101100010001111100100111110010001111000000010010000000000000000000010000000100100000010010000000001101001101100101000100111100010000110000000111100110011111001100001101001111000000001100010000110000100111100010000101000010010110000011001011011010010000000000000001000100000011000010000010000110010000101100000001111010100000011111000000000010010010001011010100001100010011111010100101100010001010010110000010001110000000001011100001011001000100100010001010000000000010001111000000111110010000111001011111100100000111000000011110001111100100111100000001111001000111000000001111000110000000000000000000000000000000000000000000000000000000000110001100001111001100001101100000000011000000000110000000000110000010000110000000101100010001111001000000001110000000000110000110000000001111011100010000100000000000000110000000000010000010001111111100011001101001001011100111100000000110000110000110000000001110001100000000000000000000010110000000011110011110000110011100110100000001100000100011100110101010000110000110000000001110011100000000000100100001100000001111011110011111100111011100001000000001100000000001100000010110010000000000000000111101011000000000011000000000000000001111000000000000000000011000000000000000000011010000000100000000000000000100000110000000000100000000010000000000000100001111000000010010001000101100111000000010110000000101100000001100000010000010000110000000111100000001111000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000001111000000111100000000000001000000000000001111000000000100000011000000011010000000100000000000000000000011000000000000000000000000000000000000000001000000000000000000000000000100000110000000011100000000110000000000000100000110000000000100000000011000110100100000110000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000101000000110111111111111111111111111111111111111111111111111 -00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000100000001010001010000000010000100000000000000000000000010000001000000000000000000000000000000110000100000010000000010000000000000000000000001000000000000010000000000000000000001010000000000000000010000000000000010001000100000000000000010000100010000000000000000000000000000000000000000000100000000000000000000010000000100000000100000010100000000000000000010000100100000001000000100100000000011000000000000000000100100000010000000000000110100000000001000000000000000000000000000010010000000000000000000000001000000000000001000001000000000000000000000000001000100000001000000000000100000001100000100000000000000000000000000000000000000000001010000000000000000000000000000000001000001000000001011000000001001000000000010010010000000000000001010100000000100000000010000000000100000000100100001010000000000000000000000000000000000000000000000000000000001010000001100000010000000100001000000001000000001010000000001010000000000000000000010000000010000000000000001001000000000010000010000000000001000000000000100000000000001010000000000000000000001000000010000010000010000001000000010000000001001010001010000000010000010000000000000000000000000110000000000001000001000000000011000100000000000000001010000100000000001010001000000000010000100100000000000000000000000000100010000001000000010000000010000000000000000000000000000000000000000000000000000000000011000000000010001000000000000000100000000000000000000000000010000000000000000001000000000000000000000000000000000000000000000000000000001000000000000010100000000000000000000000000001000000000000000000000000000000000100000000001000000000000000000010000001000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000001000000001001000000000000000000000000000100000000000000010001000000001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000010000000000000000010000000101000100000010000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000101011001111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000001000000000000000000011000000000010000000000000110010000000010000000001000000010010000000001000000000000000001011000000000001000000000000000011000000001000100000000000000000000000000000000000000000000000000000100000000010010010000011001001001000000000001000000000000000000000001000000010000000001001000000000000000000000000000000010000000010000000000000000001101000000000100000101000000000000000001010000000010000000001000000000001001000000001000000000001001000000000000000100000000000000001000001010000000000100100000010011000000000001001100000000000001000000011000000010000001000010000000100100000001000001000000000000101000000000101000100010100000000000000001001000000000000001000000000100000100010000000000100000000000001000000000101100010010000011000000010100000000100000000000010000000000000000000000000000000000000000000000000000000000000000000000000001000000000000100000000000000000000000000000000000000000000000000000000100000000010100000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000100000110000000000100100000000000000000000000000000000000000000000000000100000000000000000000001000000000001000100101100001000000000000000001000000000000000000001001000000000000000000000000000000000000000000110000100000000010000101100101000000000011000000000001000000000000100000000000001000000000000000000110000000000000000000000000000000001000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000001000000000000101000100010000001100000010000000000000000000001000000001000000010000000000011000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000011000000100000000000000100000000000000001000000000000000000000000001000000100000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000010000010000000001000000000010000000000000000000000001000000000000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001110001101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000100000000000000100000000001000000000111000000100000000000100000010000000010000000000010000000000000000001000000000000000100000000101000100100000000000000000000000000000000000000100000100000000000000000000010000100000100000000010000000000010000000000000000000000000000000000000000000000000000000000000001000000000010010000000100000000000100000000000000000000000010000000000000000000000100000000010010000100000000000000000000000000000000000000000000000000000000000000100000000000000000100000000001000000000000001000000000000000000000100000000000000000100010000000000001000000000000010000100001100000010100000000000000000000000100000000000000010000000000000000000011000000000000000100000000000000001000000000001000000000000010000001000010000000000100000010010000000000010000000000000000000000000000000000000000000000000000000000000000000001000001010010000000001000000000010000000000000000000000000000100010001000000000100100000100010000000001000000000000000000100000000000101000000010000000000000000000000000000000000000100100100000010000100000000000011001000110000000001000000000000000000000000000000000000000000000000000000000000000010000000100000000110000010000001000000100010000000000000000000000001000000000000000100000000000000001000000000000100000000000000100100000010000000000001000000001000000000001000000000000000000000000010000000000000000000000000000000001010000000000000000000010000000000000000000000000000000000000000000000001000010001000000001000000000000000000000000000001010000000000000000000100010000000000000000000000010000000000000000000000000000100000000000100000001100000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000000000000000000000000001010000000000000010000000000000000000100000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000100000000000000000100000000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001111000100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010111100000000000000000000001100001100000000001111001111001110111000000001111000000000011110000100000000000000001000001001111000010001111000000101100110001010000000010100000000000000101100010000000001011011001110000000000000001111111111101010111110010000111000000011101110001010000000010010000000100100000000010000000100100000000000000000000010000000001110011000111110110001111000000001110010001110000000000010000110101100001100000001110001100011100000000110000000001111000111101110101100100100000000100000000000000000000000010010000000000100100100010000000100100101110010001000000000000100001100011000100100000000111000100001100000000111000000001001000111001100111110010000011000000011100110001110000000000100010111000100101101101000101001000100100100000000000000000000001111101100101000100000101001100111100100000000000000000100000000000000000001101100000000000000000000000000000000000110000000000000001100110000000001110000000010010011000000000000000000000100111100000000000000000110001101000011000000000110000000001110111101111100000011100000100000000000000000011110001111000000001010110000010101000011100000001111000000000100000000001110101110110000000000000000000000000000000000011110001111011100101111101001100001101011000000000111000000000110001111000100000000110001111000000111100000001110000000011110000111101100010101110100100001100101100000000111000000011110000000000000100000000000000000000000000010000100000000000000000000000100000000010000000000000000000010000000000000000000000000000100101100000000000000000010000000001010000000000000000000111100011100100000110001010111100000000110000000000000000011001000010000000000000000000011000000000011000000000000000000000000000000000000000000000000000000000000000000000100000000001110000000110000000000000000000000001111000000000010010111001110111100000000011000001011001110000000000000000010000000000100000000000000000000000000000000000000000000010010000001100101111100000000011000000001000000000111000000000000000111000000111100000001111001000111100000000110000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010110001110111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000001000100000000001000010000010001000000000100000000000000000001000000000000000000000000000000100000000010000000000000001010000000000000000000000000000000000000000000000000000100000001000000000000000000100000000001000100000010000000000011010001000000000000001000000000000100000000000000000000000000000000000000000010000000000000000010000010100001000000000010000000000000000000001000000000000000011000000000000100101000000000000100000000001000100000010000000100000000000000000000000000000000000000001000000000000000000000000000000000100000100000000000000000000000000010001000000000000100100000000010000000100100000000000000010000100001000000100001000000000100000000000001000000000000100100010000000000000001000000000010000000000000000000000000001001000000000000000001100100000100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000011001010000000010000000000000001000000000000000000000000000000110000000000000001000000010001001000000001010000000000001001100000000000010010000000000000000000000000001100000000000001000000000000100000100000000010000000000000010000000010000000000010000000000000000000000000000000000000001001010000010000100000000000100101000000000100000000000001010001011010000000001010001011000001011000000000100000000000001100000000001000000101000100000000010000000100000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000011000000000000000000000110000000000000000000000000001000000000000010000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000010000000000000000100000000001000000000100000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000010010000001001000000000000000001101000000000000100000000000001110000100000100000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111101101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000100100000000000000001001000000000000000001000000000000000101100000000000000000001000000000001000000001000000000100000000000101000000001000000000000001100000001000000000000000000000100000000000000010010100000100000001000010000000000000000001100010000000001000000000010000000000000000000010010000000000000000000000000000000011000000010001000001000000000000001000000011000000000000010000000110000000000001000000000000000000000001000000000000010000010000000100000000000000010000000000000000000000001000000000000010000000001000000010000100101001001000000000000000000010000000100000000000000000000000100000000000000000000000010000000010110001000000000000000000010001001000000000000000000000000000011000000100000000000100010000000000000000000000010010100110000010000000000000000011000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000000000000000000000000000000000000001000000000000000000000000000000000000000000101111000000000000001000000001010000010100000000101000000000000000000000000010001000000000000000000000000000000000000000101100010000011100001000111000000000000000000000000000000000000000100000000000000000000010000000100000000000011000000000101110000000110000000000000000100000001000000000000000000000101100000000000001000000000000000000000000001000000000000000000000000000010000000001000000000000000000001000000000000000000000000000010000100000000000000000000000000000011000000000000000000000111010000000100000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001100000000000000000000010100000000000001000000000010000000000000000000000000000001100000000000000000000000000010000000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000000000000000010000000000000000000001000000001011000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000001001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000001000001000000000000110000001010000000000000000100000000000000000000000000010000000010001100000001000100000000000000000001000000000000000100000000000000000000000000100000000000000000000000000000000000110010000100000000000000000000100000000000000000000000000000000010000000000000000000000000000000000000000100000000010010011000010001000000010000100001000000000000000000100010000000000000001100000000001100000000000000000001000000010000000000010000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000001000000000010001000000000010000000100000000000000010000000000000000100000000010000000000100000001010000000001000000000000001000000000000010000000100000010000000000000000000000000000000000000000000000110000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000100010000000000000000000000000000000000000000000000000000000100000000100000000010001000000000000000000000000000000010000000000001010000000000000000000000100000000000000000000000000000010010100000000000000000000000000000000000000000000100000010000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000100000010100000000000000000100010000000100100000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000010000000000100100000000010010000000000000000000000000100000010000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000100000000000100110000010000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000001000000010000000000000000010000000000000000000000000000010000000000010000001010000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001100011111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100100000000011111111111111110010000000000100111111111111111100100000001001010101111111100000100000010000111111111111111100001000000000000000000000000000000000010000111111111101111100100000000001110111111100110000100000010000110000001111111100100000000000000000000000000000000000000000000000000000000000000000001011111110000111000010000000010001111100111100111110001000000010111111110011111000100000010001001111111111110010001000000011110111000000001000100000000100000011111111000000100000000000000000000000000000000000010000111100000111001100000000001011111111000001010000100000010000110000001010101000001000000010011001111100000000100000010000000010111111111100001000000011111110111111110000100000010000111111011111000000001000000011110111111111110000100000010000011100001101111100000000001000000000111100000000100000000000000000000000000000000000001000000000111100000010000000000100000011111111111100100000000000000000111100000010000000010000111111111101111100100000000000000000111100000010000000000100000011111111000000100000000011111111100111110010000000000100010001111111111100001000001000000000111100000010000000000100000011111111000000100000000010001000000000000010000000000100111100000000111100100000000011000000111100000010000000000100111111110101010100001000001010001000000000000010000000000100111100000000000000100000001000000000000000000000000000000100011100000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000101110010111111100001000001000000000000000000000100000010000001111001111111100100000000000000000110000110000100000010000011001100110100100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000101001011001011000001000001010100101100101100000100000010000111011011111111100100000000000000000000000000000000000000000000000000000000000000000000000000000011010010000100000010001111100111100111110001000000011101100000000001000100000010001111100111100111110001000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001011010010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100001000000011001111111111110000100000010000111111111111111100001000000010000000111111110000100000010000101111111111110100001000000000000000000000000000000000010000010101011101111100000000000001010111111111110000100000010000111010101111111100001000000000000000000000000000000000000000000000000000000000000000000011101100011111110000100000010001001111000011110010001000000011111011001111001000100000010001111100000000111110001000000000110011000000001000100000010000000011111111000000000000000000000000000000000000000000010000111110000111001100001000000011011111001101110000100000010000111010101010101100001000000010010011111100000000100000010000010000111000000000001000000011111111111111100000100000010000111111011111000000001000000011111111111111100000100000010000010110001101111100001000000000000000111100000000100000000000000000000000000000000000000000000000111100000010100000010100000011111110111100101000000000000000111100000010100000010000111101111111111100101000000000000000111100000010100000010100000011111111000000101000000001110111100100000010100000010100011101001111110000001000000000000000111100000010100000010100000011111111000000101000000011111000111110100010100000010100010100000000101000101000000011101010111100000010100000000100111111111111111100001000000011111000111011100010100000010100010100001111101000101000000000000000000000000000000000010100011100000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000111111011111111100001000000000000000000000000000100000010000000011111110001000101000000000000000110000110000100000010000100110011001011000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000101001010110100100001000000010100101011010010000100000010000110111101111111100101000000000000000000000000000000000000000000000000000000000000000000000000000100101100000100000010001001111000011110010001000000000010011000000001000100000010001001111000011110010001000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111010010011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111000000000000011111111000000000000000000000000011101111111110000000000000011111111111011100001000000001000101111111110110000010000000000000000000000000000000000001000000000001111111100010000000001010101111111110001000000001000111110101111111000000000000000000000000000000000000000000000000000000000000000000000000011101000101010100100000000001001001111000011110010010000000010110011001111001001000000001001001111000011110010010000000000000000101011011001000000000000000000001111000000000000000000000000000000000000000000001000111110110111010100010000000011101100001101110001000000001000111111111111111100010000000001110111001010110001000000001000101010100111011100010000000011111111111100000001000000001000011111111111000000010000000000000100111100000001000000001000111111010000000000010000001000000000000000000001000000000000000000000000000000000000000000000000111100000001000000001000000011111111000000010000000000000000000000000001000000001000011100001111000000010000000000000000111100000001000000001000111111111111000000010000001000111111010111000001000000001000001000011100110000010000000000000000000000000001000000001000000011111111000000010000000011101100010001000001000000001000010011001111101000010000000011101100010101110001000000010000000011110000000000010000001011101010000011110001000000001000010001000001111100010000000000000000000000000000000000001000000000000011011100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000101000000010000000001011111101010100001000000001000101100010000000000010000000000000000000000000001000000001000000000001001011000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001001011000010000000000000000000000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001001111111110011110010010000000001011111001111111001000000001001001111000011110010010000000000000000111111111001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110100101010001111111111111111111111111111111111111111111111111 -00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100000000000011011111000000000000000000000000011101111111111100000000000001110111111011100000000000000000111111111110111000000000001000000000000000000000000000000000000000001111111100000000000011111111111010100000000000000000111110101111111100000000000000000000000000000000000000000000000000000000000000000000000011101000111111110000000000000001111100111100111110000000000011111111110011111000000000000001111100111100111110000000000000000000101011011000000000000000000000001111000000000000000000000000000000000000000000000000111111110101010100000000000010100000000001010000000000000000110111111011111100000000000001110111001010100000000000000000111001000101011100000000000001111111111100000000000000000000111111111111000000000000000000000000000000000000000000000000111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000011111111000000000000000000000000000000000000000000000000111100001111000000000000000000000000111100000000000000000000111111101111000000000000000011111111101011000000000000000000111011011111111100000000000000000000000000000000000000000000000011111111000000000000000010100000010101010000000000000000010011000000000000000000000010100000000000110000000000000000000011110000000000000000001011000000100011010000000000000000110011000001000100000000001000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000001001011111010101010000000000000000000110110000000000000000000000000000000000000000000000000000000000001001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111100111110000000000001011111001111111000000000000001111100111100111110000000000000000000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000101000011010100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000001100000000000000000000000110000000000000000000000000000010000000110110000000000000000000000000000000000000000000011010111111110011100000000000000000000000010001100001001111011000101100110111100000000000000000000000000001100001000011011110111011110111100000000000000000000000000001100011000111011000000000000000000000000000000010000000110000000000000000000000000000000110000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000110000000001110011001100011100000000000000110000000000001100011000000011000111100110111100000000000000000000000001101100011000000000100101101010100111111001101100011000000000001100011100000001100010001100001000000001100000110001100011000000000000000001010100110011111000000000000000000011111011101100011001111001110000101110110000000000011111011000110001101100011000011011000001111110110001100001000000000000000000001100011000000000100111111000111100000000000000000001100011001100011000010011111111111110110100000000001100011001100010001100011001001001110111111110111100000000001100011000000001101100011000001000100001111111000011111011011111011001100011000000110001101001100111001100011100000000011111011011111011001100011001001011110111110110001101100011001100011001100011001100011001111011110111111110111101100011000000000001100011001110011000001011010000000110000000000000000000000000000000000000110000000000110100011010111100000000000000000000110001100000000000000001110111111010111100000000000000000001100011011101011000000010011100110011100101100010001000011011111010011001011000000011110111011110111100000000000000001101100011110001011000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101110011011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000101001100000000000000000000000000001000000000001001001000000100000000100000000000000000000000000000000000000100011000001000010010000000000000000000000000000000000000000001001000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000001000000000100101010101001000000000000000001000000000010100101000000001000000100000010000000000000000000000000100100000000000000000000000100000000100000100100000000000000000000000000100000010000000010000000000000000100000001000000100000000000000000000001000000000000000000000000000000000000000110100101000100010100000110100001000000000000000000000001000010100101000100001000010000010000000000000000000000000000000010100101000000010000000100010000100000000000000000000000000000000000000000000100000101001000000000000000000000000000000000000000000100010000111010110111000000000000000000000000010000000000000100010000100100100000000000000000000000000000000000000000000100000000000000000010000000000000000000000000000010100101000100001000000101000000100000000000000000000000000000000000010000010010010000010010000000000000000000010100101000100101000100000110000000000000000000000000000000000000000000000000000000010000000001000000100000000000000000010000110000000000000000000000000101000000100000000000000000000000000010000000000000000001000000001000000000000000000000000000000000000100000000000010101000010110000000000000000110010100011000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100111011111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000100000010000000100000000000000000000000000000000000000000000100000110000000000000000000000000000000000000000000010010000000001010000010000000000000000000000000000000000000000000001000001010001010000000000000000000000000000000000000010000000000000000000000000000000000000000000001001000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000100000001000000000000000000000000000000001000000000000000000000000000000001010000000100000000000000000000000000000000000000000000000100101000001000110000000000000000000000000000100000000000000000000000000000000100000000001000000000000000000000000000100010000101001000000000000000000000111100000000000001010000100000000100010000000000000111000001000100000000000001000000000100001000000100000000000000000000000000000000000000000000000000100000010000000000000000000000000000000000000000000010000000110000000100000000000000000000010000000000000000010001000001001000001000000000000000000000000000000000100000000000000000010000000000111000000111000000000000000001000100001000000110000000100000000000000111000001001000000000000000010010000000110000000000000000000000000000010000000010000010100010000110001000101000000000000000000000000000001000000000000001000000010000000000000000000000000000000000000001000100000001000001001000000100000000000000000010000000000000000000000010000100100100100100000000000000000000000000000001000000000000100010000100010000000000000000100000111000000001000000000001000001001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001001010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000010000000000000000000000000000000000000100000100000000000000000000000000000000000000000001000011001100010000000000000000000000000000001100001000000001000001000100011000000000000000000000000000001100001001000001000001000101001000000000000000000000000000001100011001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000100000000000000000000000000000000000000000000001000001100100110000000000000000000000000000001100011000000010000000000001000000010000001100011000000000010001000100000001000010001000001000000000000000000001100010000000000000000001000000000000001000000000000000000000010000000000000000001000000000000000000000000000000010100000100000000000000000000000001000011000000101100001000000000000000000000000000000000000000011000001001100000000000000000001100011001100011001000000100011001100010000000000001100011001000010001100011000000001000000000000000000000000001100011000000100010001000100000000000000010000000000010100000010100001100011000000000000001011000000110001000100000000000010100000110100000000000000000001100011000100001001100011001100011001000011001000011001000000001010010001000101100011000000000000000000000100000000000000000000010000000000000000000000000000000000000000000000000000000000001000001100000000000000000000000000000000000000000011000000100001000100000000000000000001100011000010100000000000000000000000000010001000100001000100010000000010000000000001100000001100010000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001001001000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000001001000001000000111100000000000000000000110000000000100001110000000001111000001000000000000000000000100000000000000001000000000011110000000000000000000000000000110000000001100000001010001001011110010000000000000000000000000000000001100000111101000000010000000000000000000000000000000000000111100000001001000110000000000000000000000000000000000000000111100011001001000110000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000100000000001100000110000000011100000000000011000000000000000100000000000000000000010000011011010000000000000000001000000110000000000100111110000001011110110011000011000000000000000110000000000000001100000000000000010000000000000000000000000110000000100000000000000000010000000001100110000000001000000110001000001000011110001001011010101010100110000000001000000100000000000000000000000000010011000111100010000000000000000100000000011000000101111000011100000001100010000000001000000110001010000000001100010000010011110000000110000000001000000110001001000000001000001000111111000000001110000000001000000110001110000000101100010000001100000010000110000000001000000110001010100100000110010000001100000000000110000000001000000110001110000000101100000000111001100000000110000000001000000100001110000000001000000000001100000000000010000000000000000000000000100100000001001000111100110000000000000000000000000000000000111110000010000000000010000111100000000000000000000110000000000000000100000000011101100000000000000000001000000110000000000000001100110000111100000000000000000000000000000100000000100100101100000001011000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011010010110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000100000000100000010000000000000000000000110000000000000100000000010000001000000000000000000000000000010000000000000001000000010010000010000000000000000000000000110000000000010000000000100000000010000000000000000000000000000000000010100010001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000011000000000001001100000000010000000000000000000010000000000000000000000100010101000000000000000000000000000110000000000000101000000010000011000000010000000000000000000110000000000000001010000000000000000000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000100100010000000001000001000000000000000000000000000000000000000000000000000001001000000100000000000000000000000000000100000000011000001010000000010000000000000000000000000001000000000000110000000001000010000000000000000000000000000000000000000000100000000110101000000000000000000000000000000000010000000001000000010000000000000000000000000000000000000001000000000100010000010000000000000000000000000000000000000000010000000000100000000000110100000000000000000000000000000000010000000000100000010000000000000000000000000000000000000000000001000000000010010010100000000000000000000000000000000000000000000100000000000000000010000100000000000000000000110000000000000000010000010001000000000000000000000000000000110000000000000000001000010001000000000000000000000000000000010000000000000000100000000001000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100111111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000100100001000000000000000000000000000000000000000000000000100100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000010000100000000000000100100000000000000000000000000000000000000000000000000000000100000000000000000000000010000000000001000000000000000000000000000000000000000000100010000000001000000101000000000000000000000000000000000000000010000000000000000000000000000000000000101000000000000000000010000000000000001000000010100000000000100000000000000000100010000000001000000000001000000000001000000000000000000000100010000000001000000000000000000001000000000000001000000000100010000000101000000000001000000000100000000000000000000000100010000000001010010000001000000000100000000000000000000000100010000000001000000000000000001100000000000000000000000000100010000000001000000000000000000000100000000000000000000000000000000000000000100000000001000100001000000000000000000000000000000000000001010000000000000000000000100100000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000100000000000010100000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100001000110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000101001000000000010000001001000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000100000000000000000011000010000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000100000100000000000000000000001000000000000000000000000000000000000000000000000000001000000000000000010000000000000000000000000000000010000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000001000110000000000000000010000000000000000000001000000000100010000110000000010000000000000000000000000000000000000000001010000010000000000000000000000000000000000000000100001000000000000010000000000000000010000000000000000000000000000010001000000110000000000000000010000001000000000000001000000000001000000110000000000000000010000000000000000000000000000000000010000110000000000000000010000000000000000000000000000000000000000110000000000000000010000100000000000000000000000000000000000110000000000000000000000100000000000000000000000000000000000010000000000000000000000000000000000000000000000010000000000000000000000000000000000000010000000000000000000000000000100000000000000000000000000000000000000000000000000001100000000000000000010000000000000000000000001000100000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100011001100000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000001111000000111100000000011001000111100000001111001111010010001110011010111000000001110000000101100000000011011011000000000110111000100110010000110000000011100000000111000000001001001111000100000000000001100101000100110010001110011011100010000111000100111100000001110001010001100000001110000000000010000000000100001110110000010100100001000100000011000000000010000000000000011000000000110000000000000000000000000011010010000000100100111100000000110100100010000010000000001111000000001111000000111100000001110000000111100000001110011111101100001110000001011100000001110000001111100000000001011011000000000011001000110100100001111001000101100100001111000000000110101111001100011100000000111000000000100100001111000111001101100000000000000000000000000000000000000000000000011011000000000110000000001100000000111111100100100000000010011111101001001110011100101100010000110000000001100000000100011011000110000111000100111000000001111001110100100100001110000011000000001111100100001100010001111001110011100000000101001111000000000100101110111000000000000001110000001111000000000000000000001111001111001000000001111100100000000110001111011011000110100000111100001000000000011101110001011111000111011010000100000101111100001100000001111101100111100000000111000000000010000000001110011100000000000001110000000110000000011011000110000010000000110100000001100001100111000000000110011011000000000110000000001100000000100000000001100100000100000000000000000110010000001101000000010000000110000000001001000000000000000000000000000000000000011001111001000110100100000000000110100110111100011100000001111001100010100110100110000000000000000000000000000001100001111001100001100000001111000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111001101001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000001001000000000010000100000001000000100000100001001000000000000001000000000000000010000000000000000000000000010000000000000000000000100000000010000000000000000100000000000000000000000000000000000000000000000010010001000001000010001000100000000000000000001000000000001000000000000000000000000000001000000000000000000000000001000000000001000000000000000000010000000000000000000000000000000001000100000000000000001001000000000000000000100000000000000000000000000001000000000101100000000000000000100000000000000000000000001000000000000000010000000010000000110000000100000000000000000100000000000000010000100000000000000000000001000000000000100100100010001000000000100000000000000000010100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010001000000000000000000000110000000000000000010000101000000101000000000000000000000000000001010100001010000000010000010010000000000000000000000000000100000100000000000000101000001101000000000010000001000000000000000000000000001000000000000000000000000001000000000000000000000100000010000000000000100101010000000001010100000010000000000000000000010000000000010000000000000000000100000000110000000100000000010000000000100100000001000000000100000000000001000000000010000001010000000000010000000001010000000000000001010000000000000100100000000010100100100000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000010000000001000000000001000000000001000000000000000000000000000000000000010000010000000000100000000000000000100000000000011011000000010000100100000010100000000000000000000000000000000000000001010000100100011000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111001011001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000010000000001000010000001000000010000001100000000011000000001010000000000000000100000000000001010000000000110010000000000000100000000001000000000000001000000000000000000000010011000010001000001001000100000000010000000001001000000001000000000000000000000010000000000000000000000010010011000000001010000000000000100000000000000000000000000001000000000010000000000000000000000000000000001001000000010000100000000010000000100000000000000000010000000000001000000000000000000001000000000000000000011000000100000000000100000000000000000000010000000100000000000000000100000000000000000000100000000101000000000011010000010010000000000000000010000000010000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000100000000000001000001010000000000000110000000000000000000000000000000001000000010001100000000000000001000000000010000000100000000000000000000001000000000010000000000000000100100000000000000001000010001000000000000010000000000000000000000010000000000000000010011100000000000000000000000000000000000000000000000001000000000000000000001000010000000000000001000000100000000000000000111000000000000001010000000011000000001000000100000100000000110010100000000100010000110000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000100000000000100000000100000000000001000100000000000001000000010000000010000000000000010000000000000000000000000000000001000000000000000000000100000000000010000000000000000000000000000000000000000000000000000000000000000000000000000001000110000000000000100000000000000000000001000000000000000000000000000000000000010000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110101101001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000001010000000100110000000000000010000110000001010000000000000000100000000000000000000000000000000010000000100000100000000001000010000001010000000100000000001000000001000000000000000001010000100000000000001000000000000010100001000000000000000010000000000000000000000101000001000000000000001000000000100000000000000010000000000000000100010000000000010000000000000000000000000000000000000100000000000000000000000000000000000000000001000001000000000100001000000000100000000000001000000011000000000000010000001010000001010010000000010000100001000010001000000001000000000100000000000000000000000000100000000000010010001000000000001010000010010000000000100000000000000000010010000010000000110000000000100000000000010000000000000000000000000000000000000000000000000000000000000000100000000100000000000000000000000010000100100010000010000000000000000011000000010000000000000000000000001000000000000000100000000000001000000000100000000100001000100010000010001000010000000100010001000000000000000000100000001100000100000001000000000100000000000000000000000000001000000001000000000000000000000001010000000000000000000000000000000000000000011001000100010000000000010000000000000000000100010000010100000000000000000100000000010000000000000010001010010000000100010000000000000000000000001000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000100000000100000000100000000000010000000000000000000000000000100000000000000000010001000000001000000000100000000000000000000000000000000000000000000000000000000000010000000000000000100000000000000000100000000010000000000010001000000001000000100000000000000000000000000000000001000100100000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000110111000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000100000000001111000000111100000000000000000000000000001111001101001001000010011000111100000001110000000100100000000111000000000110000010001110011100000000010100101011000000001101000000000110000000011000011000000000011000100000000000001111000000000110001111001110011000000001110100100011110010011111001101001100000110011110011100010000011000000000000010000000000010000000000000011000101100000000110000000111100000000000000000010010000011000100111111111000110000101011000000000001001001000000001111010000011000000001111000000111100100000111010010010010000111101100110110110001100100000000110110001111000100000110000000000000010000000001111000000111100000000110000100011110001101000100010110010000000001100011000000001100000000000000000011001110011000000000000000000000000110000010000010011110000000111100011100000000111000000111111101000000010110011110001111111100001100000000111001010001100010001111000100010110000000001001111100100011111001000000000010000110000000000010011110001000100101111101011101100011100110000111011111000110011111001110110100010000000000000010110110010111000110011110000000111100011110010000011000000000000000001111011110000000001110111100111000000001011000000001100110001010011110010110001111111100111100000001100000000001111111100111000110000110000000001011101100000000000000000000000110000000000000011110000000000000110000000001101000000000000110100000000000000100001111001000001000000001110001000111100000001111000000000100000110001010010100110000110001100000000100000110000000011110000011011000011100010001100100100011000110010011011110011110000000111100111100000001111000000000000110100000000000011110000000000000110000000001111000000000000110100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100010111110111111111111111111111111111111111111111111111111 -00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000000000000000100000000000000000000000000010100000000000001000000000000000100000000000001010000000010000100100000000000000000100000000100010000000001000000000001000100000000100000000000000000000100000000000001010100001010000100000000000000000001000000000100100000000100001000000001000000010000001000000000000000000000000000000000000000000001000001000000000000000000000010000000000000000000000001000000001010000000000000000001000000000010000000000000000010001001000101000000001000000000000010010001000000000000000100000000000100000000000100000000000000000001001000000001010000000000000000010000100000000000000010000000000000010000001100000010000000010000000000000000100000000000000000000000000010000010000000010000000000000000000001010000000001000000001000000000010000010000100000000000000010000000000000000100001001101000010000000000001101000000000000000001101000000000000000000000001000100000001010000000000001000000000000000000100000000000000000000000010000010000000011010100000000100101010010000000001010000000000000000001000000110010000001010000001000000000010110000000010001000000000000000100000000001000000000100000010100000000100000000000000001010000000000001000000010000000011000100000000100000000000000100001000001010001010000000000000000100000000000000000000001010000000000000000001000000000000100000000100000000000000000100000000000000000000001000000000001000000000000000000000010000001000000000000010000000000001000000000000000100000000000010000000000000001001100001101000000011000000000010000000011010100000000001000001000000000011001000000010000000000000000100000000000000000001000000000000000010000010000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000001000110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000100000000010000000000000000000000000000000000000001000000000000000000000000110000000000000010000000010000000000000000000000000000000000000000000000001000000000000000001000000000000000000000100000110000001001001000000000000000010000000000010000000000000000000000000000000000000000000000000000100000100000000010000000000000000000000000000000001001000001000000100100100010000000000000000000000000000000000000000010000000000000000010100000000000000000000000001000000100000001110000000001000000010000100000001000001000010000000000000000000000000000000001000000000000000000010000000000001000110100000000000000100000000000001000000000010100000000000000000000000001000000000000000000000000000000000000000000000101100000000110000000000000000000000000001000100000000101000101100010000110000000000000000000001000000001000010000000100101100000000000100000000100010000000000000000000000000000000000000100000000000000001000011010000010000000010001001000000000000100000000001000000000000000000000001000010000000000000101100000000110000001000000000000000000000000001000000101100000000011000110100100000000100000000000100000001000000101100101100100000110100100000000010000000000101000010000000000000000000000000000000000000000000000000000000000000000000000000101100000000000001000000000100000000000000000000000000000010000010010100000000000000001000100000000000000010010000000000000000000000000010000000010000000000000000000000000000000001000100000000001000000000001000010001000000000000000000101100101100000000110001000000000100000000000000000000000000000000101100000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101111111011010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000000001010000000100110000000000000000000000000001010001000000000010000010000100010000100000000000000000000000011000000000000000000000000000100000000100000000000000000000000000000000000000000010000000100000000100000100000000000001010000000000000000001000000000100000000101000001000100000000000000000001000000100001000000100100010000000000000000100000000000100000000000000000000001000000000100000000000100000000000000000000000000000001000000001100000100001000000000000000000000000000000100000000000000000000010000000000000100000010001000100000100100000001000100010101000000000001000000101001000000000000000000000000000000000000010001000000000100000000100000000000100000000000001000000100000000011000000000000000000000000000000000100000000000000000000000000000000000000000010000000000000000000000010001100000100010000000000100000000000000000000000000000000010011000000000000000000001000000000000000000000000000000010000000000000000000010000000000000010001000000000000000001010000100010000000000010000000100000000000000000000000100000000100000000100000000000000100000000000000000000000000000000010000010000010000000000000000000000001010000000000000000000000010000000000000010000001000000000010000000000000000010001000010000000000000000000001000000000010000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000100000000000000000001100000000000100000000100000000000000010001000000000001100000100001000000000000010001000000000000000000000001000000000001000000000000000000000000000000000000000000000010001000000010001000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100010101101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111100000000000000000000000000001111000000000000000101001000111100010000011011000101100000001111000000000110000111001110011000010011111001000111101100000011000000000000000000101000011010010000000000000010001100000100000000000110001011001010011100110001111100100001001110001110000000010010000000000100011100000000000100100000000110000010000000000000000110000100111100000000010000100010100000001111000000010010000110100100001100000001110100101111100000000000000000011111101111011010010000000000100000000111001101000010000000000000001111111100111000000001011000100110000010001111000000000100001111000100101101101011111001001111100100000111000000000110000011001000100101111000110001100000000000000100000000000010001111000000000101101100000000000000001101100000000000011111100110111100011110000001110000100010100000001001000000011110000111111100011100000000110000100111100000001110000000000000000011011100111100000010010001110000110110110111000000000000001111101010101101101100011000001101000000001111000000001011000111011100111100110001111101100111100000001111000000011110000000111100010100110000000100000000000000000100000000001110001011000100111111111001111001100011110010000010000000000110000111011100111100000001111000000111100000001111000000001111000000101100011100110000000001110000000010000000000000000000000011111100011000100010011001110001100000000001000000000010001110000000001100000000110001000000100000000110000000000000000000000100110000010000000000000001100010000100000000000110001111111100100100110100000000000000100000000010000000010010001111111100101000110100110001100101100000000110000000000100000000111100010000000000000000000000000000001010000000000100000000000000000000100000000000000000000000000000000000001101100000000000000000100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101000100101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000100100000000000000001000000000000110000010000101000000000000100000000000000010100100010001000000000001000000000100000001010000000000000000000000000001000000000000000000000000010001000010000000000110010000000001000101010100100000000001000001000001000000001000000000000001001000000000000010000000000000000000000000000000000000000001001100000000000000000000010000010100000000000000000000000000010000000000000000001000000000000000000000000100100000001000000010000000000000000100000000000000000000000000100000000010000010000010000000001000000000100000000000000000010000010000000000000100100000000000010000100000000000001010010000000000000001000000100100100000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000101000000010000000000010000000010000100000000000000001100000000010000110000000100000000000110000000010000000000000010001000011000100000000000010000000100100001000000000000000010001000000000000000100000000000000000000001101000000001000100100110010000111010100010000000100000000010000000000000001000000000011000001010000000000000000000000000000000000000001010001000000000010000100100100001000100000000000000000000000100100000011000000000001100000001000000000010001000000001010000000000000001011000000000010000000000000000000000000000000001000000011000000010100000010000010000000001000000000000000000001000000011000000000000000000000100000000000000000000000000000000001000000000000000000000011000000000100000000001010100000000010000000100000000000000000000000000000000000000000010000000010000000100000000100100010000000000000000000000010000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001000010110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000100000000000000000000000000001000001000000000001000000000010010000000000000000000000000000000000011000000000100000000100000000000000000000000010000000001000000000000000000000000100000000000000000000100000000000000000000100010000000000000101000000000001000000000000010010000000000000010000000010001000000000000000000010000000010000000000000000000000000000000001000000000000100010000000100000100000010101010010110000000000000000000001000001000000000000000000000000000000001100000000001000000000000001000000110100000000000010000000001000000011000000000000000001000000000000000000100010100000000000000100000000000000000000001000000011000000100001000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000101000001010000000001000000011000000000000000001000000000000101100000000110000000000000001000000100000000000010000000000000000000000010100000000000000000000000001000000000000000000000010100010000100100000000001000000100000000000010000000000000000000000000001000000000010010000001100000000101000000000101100000000110000000000000000010000000000000010000000000000000100010000001001011000000101000010000001000000001000000000001100000000011100000000000010000001010000000001000000000000000000000110000000000000000000000000000000001000000000000000000000000000111000000000000000000000000100000000000000000000000001000000000000000000000001100000000000000000001000000000000000000000010100000001000000000000000000001000000000000000000001000000110110000000000000000000000000000000001000000000101000100000111000000000000000000001100000000000001000000000000000000000110000000000000000000000000000000001000000000000000100000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110100000100110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000100000000000000100000000010000100000000100000000100010000000010000000000100000010000000000100100000000000010011000000000100000000000000000000010000000100100000000000000000000000000000000000000000010000000000000100000000010000100000000100000001000000000000000000000000000000000000000000000000000000000100000000000000000100000000000010000000010001001000000000000100000000000100000100001000000000000000000000000000000000000000000000000000001010000000000000000001000000000000001000000000000000000000001010000010010000000000100000100000000010010000000000001000001100000000100110000000000000000100010000001010000000000000000000000010000000000000000000000000000000100000000000000000001010000000100000000000000000000000000000000000000000000000100000001000001100000100000000001000000000000000000000000000001010000010000100000000000000100000100000000100000000000000000000000010010000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000010000000000010000000010000011001000000000100000000000000000000000000011000000000000000000000000000000000000000000000010000000000100001000010000000000000010000010000000000000000000010000000010001000000010000000000000010000001000000000000000000000001001000001000000000000000000000000000000000000000000000100000010000000000000000000000000000000000000000000000010001000000000000000000100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000001010000010000000000000000000001000000000000000000000000000010001000010000010000010001000000000000000100000000000000000000000000010000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100110010010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000001011111111111110110000100000010000111111110000000000001000000001011111111111110000100000000100111111111111111100100000000000000000000000000000000000010000110101011111111100100000000011111111000111100000100000000100111111111111111100100000001000000000000000000000000000010000111011100000010100001000000000000000110011111000100000010001111100111100111110001000000011110100110011111000100000010001111100111010110110001000000001111011111110100000100000010000101111111111111100001000001000001111111101010000100000010000100010001111111100000000000000000000111111110000100000010000111111111111000000001000000001011111111100000000100000010000000011110011001100000000000001111111000000000000000000010000000011110000000000001000001000000000011111110000100000000100010110101010010100100000001011111111100111010010000000000100000001011000111100100000000000000000111100000010000000010000111111001111101100100000000011111111111110110000100000010000111011101111000000100000000011111110111100000010000000000100000011111111000000100000001000000000111100000000000000000000111100111111110000100000000011000000000011110010000000000100110011000000010100100000000011000000010101010010000000000100101010100011001100100000000000000000111100000010000000000100000011111111000000100000001000000000000100010010000000010000100010000011001100100000000000000000100101100000100000010000011010101001011000001000000000000000000000000000000000010000010000011011111100001000001010101010000000000000000000000100000011110101010100100000000000000000011100100010000000000100010011100011001100100000000000000000000000000000000000010000111110010101010000100000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101001000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100001000000011101111111111110000100000010000101011110000000000001000000001010101101010100000100000010000111111111111111100001000000000000000000000000000000000010000111101011111111100001000000001111111000011110000100000010000111111111111111100001000000000000000000000000000000000010000111011100011011100001000000000000000001111001000100000010001001111000011110010001000000001000000001111001000100000010001001111001010110110001000000001111011111110100000100000010000001010101101110000001000000010001111111111110000100000010000111110001111101000001000000000000000001110110000100000010000100000001111000000001000000011110101111100000000100000010000000011110011001100001000000001111111000000000000000000010000000011110000000000001000000000000000111111110000100000010100111111111111111100101000000010111111111111110010100000010100000001000000111100101000000000000000111100010010100000010000111111000000000000101000000011111110111111110000100000010000111111111111000000101000000011111111111100000010100000010100000011111111000000101000000000000000111100000010100000010100111100111111110000101000000011101010000011000010100000010100010001000011011100101000000011101010010100000010100000010100000010100011000000101000000000000000111100000010100000010100000011111111000000101000000000000000000111110010100000010000111110000011000000101000000000000000011010010000100000010000100101010110100100001000000000000000000000000000000000010000110001101011111100001000000011111010000000000000000000010100000011110011001100100000000000000000001001110010100000010100111001000101101000101000000000000000000000000000000000010000111110010000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111010111100101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111010100010000000000000000010111110001000000001000010111010111011100010000000010111011111011110001000000000000111111111010101000000000000000000000000000000000000000001000000000001111111000000000000001111111111111110001000000000000101010100101011100000000000000000000000000000000000000001000000000000000000000010000000000000000111111111001000000001001001111000011110010010000000000000000001111001001000000001001001111000011110010010000000000000000111010100001000000001000001000111000111100010000000000000010000111110001000000001000110111110001111100000000000000000000010100000001000000001000111011101111111100010000000001011111000000010001000000001000000011111111110100010000000000000000000000000000000000001000000000001111000000010000001000000000111101110001000000001000111111111111111100010000000011011111101010110001000000001000111111111111111100010000000000000000111100000001000000001000111111111111111100010000000011111111101111110001000000001000111111111111000000010000001011101111111111110001000000001000000011111111000000010000000000000000000000000001000000001000000011110000110000010000000011101010000011000001000000001000000001111111000000010000000011101100001101110001000000001000111010101111110000010000001000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000111110000000111000010000000000000000011110110001000000001000101000001000000100010000000000000000000000000000000000001000110011010101101000010000000000000000000000000000000000000000100011011111000000010000000000000000100011010001000000001000101100011010010100010000000000000000000000000000000000001000111010100100000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111011011101110111111111111111111111111111111111111111111111111 -00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100000000000000000000010111110000000000000000010111011111011100000000001010101010111111110000000000000000011111111111111100000000000000000000000000000000000000000000000000001111111100000000000011111111011111110000000000000000011001100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001111100111100111110000000000000000000110011111000000000000001111100110011110010000000000000000000101010100000000000000000111111110000000000000000000000000011000100010000000000000000010111110001000100000000000000000000000011000000000000000000111011100101010100000000000001011111000000000000000000000000000011111111111100000000000000000000000000000000000000000000000000001111000000000000000000000000111111110000000000000000111111000110101000000000000011111111101010100000000000000000111110111111101100000000000000000000111100000000000000000000111101110011111100000000000011110111111111110000000000000000111011111111000000000000000011111111011111110000000000000000000011111111000000000000000000000000000000000000000000000000000011111100110000000000000011000000000011110000000000000000011101111111000000000000000010100000000001010000000000000000110000000000000000000000001000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000100010000000111000000000000000000000000100100000000000000000000001011110100000000000001000000000000000000000000000000000010011101010010100000000001000000000000000000000000000000000110110001111000000000000000000000000110110000000000000000000000110111100110000000000000000000000000000000000000000000000111010101110101100000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000010110001001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000110011110111100000000000000000000000000001100011000011000000000011000110000000000000000000000000000000000000000000001110111111110111100000000000000000000000010001100001001111000000001100000000000000000000000000000000000000000000000000000000000011010000000000000000000000000000000000000000000000000000000000000000010000001110000000000000000000000000000000011110110000000110000000000000000000000000000000000001000000000000001100110110000000000000000000010000000000000000000000000000000000000110000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000110110010000000000000000000000000000000000000000000000000000000100000000000011000000011000001100000000000000001100000001100010000000001000000000000000000000000000000110001000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000011000110011010010101100011001100111001100101001100011001011011100110010000111101100011001100011001100011001110011001110001110111000110111101100011001100011001110011001100011000110011000111111110111101100011000000000001100011001100011001111000000000000110111011111011011111011001010011000000000001111011110011101100111101100011001100011001100011001100011001111011100111111110111100000000000000000001100011001100011000001001000000000000000000000000000000000000000000001000000000000010110100011110100001000000011000001101000000000000000000000011110000000000001111001011000000000000000000000000000000000011111111111001001100000000000110000000000000101100001000000011000001100110110000000000000000000000000110000000110000000011000000100110101100000000000110000001100011000000010000000011010100110001100100100000000000000000000000011111011100000000000000000110001111111011000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000001100000000000000001100000000000000000000000011110001111000110000000000000000000000000000001100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100111001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000100000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000101000000100000000000000000000000001000000000000001000000000100000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000001000100101000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000001000000000000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000010000000000010000000000000000000000000000000000000000000000000000000000000000001100000001100010000000000000000100100000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000001100000000000000001000000000000000000000100001000001001000100100000000000000000000000000000000000000010000000100000000000100000000000000000000000000000000000000000001000110000110000100000000000000000000000000000000000001001000000000010000100000000000000000000000100101000000000011000001000000110000000100000000000000000000000000000000000000001011000000101000000100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000100000000000010010000001000000000100000001000010000000000000000000000000001000000000000000100000000000000000000000000000000000000000010101101000101100000000000000000000000000010010100100000000000010010000000000000000000000000000000000001000000001000000000010010000000110000000000010000000010100101000000000000000000011000000001000000000000000000000000000000000000010000000000000000010000000100000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000110000000000000000110000000000000000000000000001000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110110010011010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000001000000110000000100000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000100000000000000000000000000000000000000000000000000001000000100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000000000000000000000000000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000010000000000000100000000100000000000010000000000100000000100000000000000000000000000000100000000100010000000110000000100000000000000000001000000000000000010000000000000110000000100000000000000000000000000000000000010100000000000001000000100111000000111000000100000000000000000010010000000000000010000000000000000000000000000000000000001001000000000110000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001001000010000000000000000000000000000000000000000000010000000000000100000001000000000000000000000000000000000000000100010000000000000000000000100000000000000000000000000000000000000001000001000000000000000000000000000100000000100000000000000010000001000000000010000000000000000000000000000000000100010000000010000010000000000000000000000000111100000000000000000010000100000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000000100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111010110111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010001100011000000000000000000000000000001100011001000000000000000001000100000000000000000000000000000000000000000011000011001100011000000000000000000000000000001100001001000000000001000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000100000010000000000000000000000000000000000001000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000000001000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000001000010001000000001000011001100001001100001001100011001000001100000000000010001100011001100011001100011011000011001000001100010000100011001100011001100011011000011001100011001000001000010000100011001100011000000000001100011001100011000000000000000000000010000010100000010100000000000000000000000001001100001101000011001100011001100011001100011001100011000001001000011001100011000000000000000000001100011001100011000000000001000000000000000000000000000000000000000000000000000000000000000001100000000000000000001100000001000000000000000000010001000000000000000010100000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000001001010000010000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000100000001000000000000000000000000000000000000000000000010000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000001000100000000000000000000000000010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110110110110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100100001000010000101111000001100000000000000000000000000000000000000100110100011000000000000000000000000000000110000000011110001110011000001010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100110110100100000000000000000000000000000000000000000000111100011110000000000000000000000000000000000000000000000000000000000000000000000011110001100000000000000000000000000000011110000110010000001100000110100000000000000000000000000000000100100111111101001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000001111111000000000000000000000000000000000000000111110011000110000000110000000000000000000000010000000000000000001001000000001100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000001100000000000000000000010000000000000000000000001001100100001100100000000001000000110001010000100011000000000011011100111000110000000001000000110011110011000000000001000011011100110000110000000001000000110011110011100001100001000011000000000000110000000001000000110001110001100001110000000111111100111000110000000001000000110001110001000101110010000001100000000000110000000001000000110001110100100001100011000111100000110000110000000000000000100000000000000001100000000011000000000000010000000000000000010000000100100000000000000011100100001000000000000000000000000000000000000000000000000001100010000000000000000001000000010000000000000000001001000001101100000000000000000000000000100000000011001000111001001011000000000000000000000000000000000000000000000000000000000010011110001100000010000000000000100000000011000101100001000001100110111100000000000000000000110000000001000000000000000111100100010100000000000001000000000000000100100011000010000001100010001100000000000000000000000000000111100000100000000110000010000000000000000000000000000000000111100000000000000000000110001100000000000000000000100000000011000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110010111011011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000001000000101000100010010000000000000000000000000000000000000000000000100000000000000000000000000000000000110000000000011000000001000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000000000000000000000000010000100000000101000000000010000000000000000000000000000000000000100000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100010100000000000000000000000000000000000000000100100010100001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000000000000000000000000000000000000000001000000000000100000000000000000000000010000000000000000000000010111100001000000000000000000000000010000100000000000000000010111100001000000000000000000000000010000100000000110000000010100000000000000000000000000000000001000000000011000000010000000110101000000000000000000000000001000000000010100000010000000000000000000000000000000000000001000000000001010000010000000000001000000000000000000000000000000000000001010000000010100000000000000000000000000000100000000001000000000000010000100000000000000000000000000000000000000000000000000000001000000000000000000000000000000000100000000000000000000000010000000000000000000000000000000000010000000000001000000000000001000000000000000000000000000000000000000000000000000000000010000110110000000000000000000000010000000100010000000000010000000000010000000000000000000000110000000000010000000000010000000001000000000000000000000000000000000000000000000000010000000001100000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010111111000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000001000000000010000000001000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000001000000010000000000000000000000100000000000000100010000000001000001000000000000000000000000100000000000000100010000000001000000000000000000000000000000000000000000000100010000000101100000000000000001001100000001000000000000000100010000000001100000000001000000000100000000000000000000000100010000000101010000000001000001100100000000100000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000100000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000001000000100000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000110000000100000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000101000000000101000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001000010100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000001100000000000000000000000000000000000000000101001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000010001001000000000000000000000000000000010000000100000001000000000010000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000010000000000101001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000010000000000000000000000000000000100001000100000000000000000010000000000100010000000000000000000001000110000000000000000010000000000000000000001000000000000000000110000000000000000010000000000110000000001000000000000000000110000000000000000010000000100000100000000000000000100000000110000000000000000010000100000000000000000000000000000000000110000000000000000010000000100000000000001000000000000000000110000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000010000010000000000000000000000000000000000000000000000000000000001000000000000000000000010000000000000000000000000000010000000000101000000000000000000000000000000000000010100001000000000000000000000000000000000000000000000000000000000000000000000010000000000000000100000000000000000000000001000000010000000000100011000000000000000000000000000000000000000000000000100010000010000000000000010000000000000000000000000010000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100101111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011000000000111000000111100000000111000000001100000001111000000000000001111000000001100000000111000000011100100001101011010001100000100000000001101100001110000000001101101101110000000010010000000000000111100000000011000100000000000000000000000000100000000001000001001101100110011100000000000000000000000001111100000000000111110000000110101000001000010000000000000000000001111100100111100000001111001010010011111101111011011000000001100000000010100000001110000000011111111001010011101000000000111000000001100000001010000000101100000010011000000001100000000011000000000000000000000000000000100000000011010001101101111000000111100000001110000000101000000001111011011000000000111011000011001100100111000000101000000001000000000001101100000000000000000000000000011000000000110000000000000001101100000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000111100000000011001101011000100000000000000000000000000000000111100000000000000101011000000000010000000000110000000001110001100000000000001110000010110000000000000000110000000001110010100010000000001110000000110000000000000000110000000001110001100000000000101100000000010000000011010000110000010000000010100000001111001110111100100001100011011000110100010011100111100100011110001100111100110000010000000000010000000001110011100000000000001110000000110000000000000000000000000000000000000000000000001110000000000000000000000000000001001000000110000000000110000000001010000000100011101000000011111000000111100000001100000001111100100011111011011000110110111000001011000010000000000000111111111100110011011000000000110000000111000000001111000000100100000000010011011000010001101000100111100010001111000000010100010001101011000000010010011000101011100000010111100101011100010000111011011000010001111000000110100000000010000000111100110100010011011000100000101000000000100100000110000000111110000001011000101000010000110000000001100010000110011000101110000001110011000000000000110000000001100000000110001010101110000001110000000000000000000000000000000000000011000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100111010111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000100000000000010000000100010000000000000100000000000000000000010000000000100000000001000000000000001000000000000000100001000000000000001000000000000000000000100000000000000000010000010001000000000000000000000000000000000000000000000001000000000000001000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000100000000100000010000100000000000100000000100000000000000000000000000000110000000000000000000000010000000001000000000000010000000000010000000000001000000000100000001000000000000001000000101000000000000000000000000000000000000000000000000000100000000000000010000000000000000000000000010000000000000000100000100011001000001100000000000000000000000000000000000000000000000000000000000000000101000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001000000000000010000000000000000000000000000000001000000000000000000000000010000000000000000001010000000010000000000000000000010000000000000000000000000000010000000010001000000000000000000000000001010000000000000001010000000010000000100000000000000000000001000000000001000001010000000000000100000000010000010000000010010000100000000100100000000000010000110000000010000000001111010000000000000001000000000010001011000000000000010000000001010000000000000000000000000000000000000000000000010000000000000000000000000000000100000000000000010000000101000000001000000000000000000000000001010000001000000000000000000001000100010001010010000000100100000000000100000000000000000000001110000000000000110000000000000000000000010000100100000000000000000000000000110000000001000010001000100000001010000001000000100001000000001000000010000010000100000000100000000000000011000100000000000000000100011000000110000000000001000000110000100000000010000000000010000000000000000010000000000001010000000001000000000000000000101000000000001000000000000010001000000000001000010000000000101000000000000000000000000000001000000000001000000000000000000000000000000000100001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000100000000000000000000000000000100000000000000001000000001000000000000000000001000000000001000010100000000000000000000000100000001000000000000000000001000000000000100000000000000000000000000000000010000000000000000000000000000000000100000000000000010000000000000000000000000000000000001000000000000001001000010000010000000000000000000000000000000001000010000000000000001001000000000001000001000000100000000010100000000000000000010011000000000001000100100000100000000010001000000000100000000010000000001000000000000000000000000000000000000000000000000000000000000000000100000000100000000001000000000100000000010100000000000100000001000000100000000010000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000000110000000000000000000000000000000001000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000010000000000000000000000100000000000001000000010000000000101000000001000000001000001010000000000000000000100000000000010001000100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000001000000000000100000000000010000000100000000000010000000100000000000010001010000000000000000000000000000000000000000000001000000001000000000000010000000000100000000000010000000000000000000000000000000000001000000000001000000000100000000000000000001000000010000000000000000000000000000000000000100000000000010000000100000000001000000000000000000000000000000000000000000001000010000000100000000000000000000010000000000001000100001001000000000000000000000000010000000010000000000000000100001000000010000000000000000000010000000010000000000000000100001000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010010011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000100010000001010000000010000000000100000000000000001010000000001000000110000000001000001000001000000010001000001000000000000001000001100000001000000000001100000000000000000000000000100110000000000000000000000000000000000000000001000000000000000000000000100010100000000000000000000000000000000000000000100000000000100010000000100010000000000000000000001010000000100110000100000000000000000100001010000100000000000000000000000000000000000000000100100100000000000100000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000101000000011000000001000100000000010000000000010000001100000100000000000010000000000000000100010000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000010000010000000000000000000000000000000000000000000010000000000000001000000000000000000000000000000000000000001010000000000000000000000001100000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000010000000000000100010000000000000000000100000000000000000000000000000100000000001000100000000000000010000000010000001010000101000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000100000000100000000000000000000100100000001000000000000000000000000000010000000100000000000000000010000000000000000010010100000000000000000000100000000000100000000010000000100010000000100000000000010001000000000010000010000010000001000000000001000000000000010000000000000001000000100000001000100000000000010000100000100000000000000000010000000000000000000110000000000000110000000100000000000001000000000100000000000100000000000000000000100000000000000010000000000100010000100010000001000000000000000000000000000010000000000100000000100010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011001011010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000001111000000001111000000111100000000110000000000100000110001110010100000000000000000000000110001111000000001111000001011110011000000000110100100111100100001011000100000000001111001000111100000000000000000000001001000000000000000010000000000000101100000000110000000111111111000000001101000000000000100100101100100000110100100000000000000000000010010110001111101100111110010000000000100000000010001111010110010010000110000001111110010001111100100000000000000110010110001101000011001000111110010000111001000100100000001010000000000000000000000000000000000000000000000000000000000000000100001101000111001010011100100101110000000100101000000111000000000000000000001000111100000000111000000000000100000110000000000000000000001110101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000001101000000001111000000111100000000000001000000000110000000000010000110001111111110111100000000010000000000000100000000000010000110000000101100001000000000000001000000001011000000000010000010000000001100011100000000000101100000010010000000000010000110000000000100101100000000000100100000000010000000000000000110001010101110001100000000011101100010000110001011011010000000000111000000111100000000011011000001111111000110000110000010000010001100011100100000000001000001000110010010001101000110000000000000000000000000000000000000000110000000000000000000001001000000011000000001111001000000000000001001000010000000001001000000011100000000000000100100000000000001000010000010011011111100011100000001111000001111100010001111000000001100000010000000101110010001010000000101100000001110000000000000011111000001111000000001101000100111100010001001000010010010001010000100010110010001000000100000000110010011010010001110000000011100111100000000101100100111100000000110000000000000011110000100111000000000110000000111000000001110000000000000000011100100111100000000110000000110000010001011000000000010000110000000011100000000110000000100000000000110000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000011001111111111111111111111111111111111111111111111111111 -00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000100000000100000000000000010000000000000000000000000000010000000010000000000000000000000000100000000000001000100000011000100000000000000000000000010010001000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000010000000100000000000010000000000000000000000000000010100000010000000010000000000000100000001000100000000010000000000101000000100000000001100000000000000000000000000000000010001000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000100000000000000000000000000001001000000000000000000000000001000000000010000000000000000000000000000000000000000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000000000000000000000000000000000001000001000000010000000000000000000000000000000001000001010000000100000000000000000000000000000001000000000001000001000000000010001000000000000000010000000000100000000001000001010000000010000000000000000000010000000001000000000000000001010000000010000000000000010000000000100001010010000000001000000001000000000100000000001001001000000000000000100001010001000000000010001011000010000000000000000001010000000000000101010000000000000000000000000000000000000001010000000000000000000100000000001001000000001101000000000000000100000000000000000001000000000000010000000000000100000000000001000000100000000010000000011011000000100100000000000110000100011000000000001000000000000001001000000000000000000000000000001000000000000001000000001000000000001100000000000011000001000001000000100000000010000100000000000000000000000000000100000000100000001000000000010100000000001100000100000010000000101000000000000000000000100001010000000000000000000010000000000000000000000100000000001000100000000000000000100001000100000000000000000000000000000000010000000000000000000000000000101000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001001010000100001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000010000000000000000000000000000000000000000000000000000000000101000000000000000100000000000000000000000000000110000000000001000000000000000001000000000000000000000000000000000000000000000000000000001000000000000010100000010000000000000000100000000000000000000000000010000001100000010000010000000000000000000000000001000001000110000000001000000000000000000000000001000001000001000000000000000000000100001000010000000000000010000000100100000000000000000000100100010000000000100000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000011000000000000000000000000000000100000110000000000000000000000010000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000001000000000000000000000000100000000010000000000000000000000110010000110110000000000000000000000000000100000000000000000000000010001000000000000000100000000000000000000000000000000000000000001000000000000000010000000001000000000000000000000000000000000100100000000000010000000000000000000000000000000000101010000000000000000001010000000000000000101000101000000000000000000100000000000000000000000101001100000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000010100000000000000001000000000000000000010000000000000000000000000000100000000000000000000000000000010000110000000000000010000000000000000001000000000000000100001000000000001000000011000000000000000000100000000000000010010000000100000000000010000010000000000001000000000001000000100000000010000100001000000010000010001000000001000000001100000000010011000000000000010000000000000000000000000000000001000000000001000000010000000000100100000001000000000000000000000010001000000000010000000000001000000000010000000000000010000000000000100000010000000000001000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111010011011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000000000100000000100000000001000010001000000001000000000000000000000000100001010000000000000000000000000000100000100100000000000100000000100001000000000001010010000100110000000000000000000000000000000000000000000000000000000000010000000100000000000100100000000001000000000000000000000000001000000100000000000000000000000000100000100001010000000100110100000000000000000000000001010000100000100000000000000100010100010000001000000000000000100000100000000000100000010100010100010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000000001010000000010001000000000000000000101000000000000000000000000000100000100100000000000000000000100000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000001010000000100110000000000000000000000010000000000100000100000100000000000100000000010000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000011000000000000000000010000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000110000000000000011000000000000000000000000000000110000000000110000000000000000011000000000000100000000000000000100010000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000001100000000000000000000000000000000000000000100000000000010000000000000010000000000010100000000000000000000000000000000100010000000000000000010000000100000000000000000000000000000000000000000000000000000100000000000000000000000010000000000000000010000000000000000000000000000000000000000000000000010010000000000000000000000100000000000000000000000001000000000000000000000100000000000000000001100000000000000000010100000000010000000100000000000000000000010000000000010000100000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000011010111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111001110011100010001111001010011101100000011000000000010000000000000111100000000000100100001000000001110000000001110000001001110011001100011110000000011001100001110000000001101100000001010011000000000000001000000010010000011000000000110000110000100111000110000011000000101100110010111000000000010000110101100111100000001011000000011100010000000000000000000000000000001111000000000000011110000000000011111000000000000000010100100110100000000011000000100100000000110000000001101101111000000111100000001111100100111100100011111000000000000000000100100000000000000000000000000010010000000000000000000001111011000111100000001100000001111100000001110000000000000000010011000111100000000010000000100101101001100000000000010000000000000000000010000000000000000000000000000000000000000000000001000000000100000000000000000000100000000000000000000000000000000000000010000000000000000000000000000000000000010000000000000011000010000000001000000000010000011000000011110000000011000011000000000000000001011000000000000000000000110000000001110011100000000000000100000010010000000000000000110000000001110001100100000000001100000010010000000000000010110000000001111001110100000000000100000000000000000000000000110001111101001011100000001111001110100000010000000000000000000010011000000111100110000110001100011000000001110000000000110001111001110111000110000000001100000000000000000000000000000000000001111111100000000000000000000000000000000000000000000000000000000111001100000000000000011100000000110000000000000001001000000101000010001001000000110000010000101000000011110011111000001111111111011111001100111100000011111000000000000001110011000001100000000001000000001000000000100000000000010000001000100010000010001000000000110000010001001000000000010000001000101111100010000001000000100000010000111000000001110001111111100111000110100000000000100100100001100000000010010001101100101111100000000110001100000001101000000000000000000000111001000111100000001111000000101100000000110000000000100000100000000111100100001111000000000000100000001000000000000000100101100011000000000000000000000000000000001000000000000000000011000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010001110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010001000100000100100000000000010001100000000000000000000000000000101000000000000000000000000000000000000000001001100000010001000000001000010000001001000001000010000000000000000000000000000010000000000000000000000000001000000000000000000000000001000000000100000000000000000000100100000000000000000000000100100100000010000000000110000000000000000000000000000000000000000010000000000001000000000000100010000000000000000000000100100000000010000000000000000000000000000000000000100000000000100000000001001000001000000000010000000000000000000000000100000000000000000000000000000100000000000000000000010001100010000010000000000000000000010000000000000000000000000000001000000010000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000001001000000000001000000101000100000000000000000000000010000000000000000001010000000000000100100000000000010000000001000000000000000001010000000000000001100010000000110000000000100000000000000000010000000010000010000010000000010000000000000000000000000001010100101000001000000000100000010000000001000000000000000000000001000000000100001010000100100100000010000000000000000001010001001010000000011010000000110000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000010001000000000001001000000000000000000000000001000000000000000000001000000001000000000001000000000000001010100000000100100000100100100101001000000100010000000000000000000000010011000000001000000000001000000000000000000000000001000000001000000000000000000001000000000001000000000000000100000000000100001000100000000000000000000001000000000000001100001000010100000100000000000000000100000000000000000000000001000000000000110000000100000000000000000000000000000000000100000000000001110000100000000000000000000000100000000000010000000000001010000000100000000000000000000010000000000000000000000000001000000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010011100010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000101000000000100000100000000000000000000000000000000000000000000010010000000000000011000000000000000000000000000000000000010000000000000000100010000000000000000000000000000000000000000000000000001000000001000000010010010000000000100110000000000000000100010010000000000000000001010000100100000000000000100000000000100000000000000000000000000000000000001000000000000000000000000000001000000000000000000000010000001000000000000000000001000000000000000000000000001000000000001100000010100010000000010000010010000000000000000000000100000000000000000000000000001000000000000000000000000010000001000000000000100000000110000000000101000000000000000001000000110000000000001000000110000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000100000000000001000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000010000000000000000000000000001000000000000000000100000000000000000000100000000000000000000000000000000000000000000010100100010000000000100000000001000000000000000000000000000000000000001000000000000000000000000000001001000000000000001000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010000001100000000000010000000100000000000000000000000101100010000000001011000000010000000000000000001000000000000000001000000000000000000000000000000000000000010000000000000000000000000000000000000000010000000100000000000010000000000000000000000000001000000000000000000000000000000000000000000000100010000110001000000000000000000100000000001000000000000100001000000100001000000000000000010000000000000000000000000000010000000000001000000001000000000100100000000000000000000000010000000000001000000001000000000000000000000000000000000000010000100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001111010011111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000100010000000000001000000000000010000000000100000000000000100010000000000000000010000000100000000000001000000000000000000101000000000000000000000000000100000000000000000000000000010000000000000010000000000010000000000000000000000100000100000000010010000000001000100000000000000000000000000100000000010010000000100000000000000010000000000000000000000000000000000000000000000001000000000000000000000000000000000100000000001000000000100000000001000000100010000000000000001010000001000000000000000001000110010000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000100010000000001000000000000000100000000000000000000000010000000100000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000001010000000000010000000010000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000000111000000000000001000000000100000000000000000000000000000000000010000000000000000000010100000000000000000000000000000000000010000000000000100010000000000000000000000001100000010000000000000000000000000000000000000000000000100000000000100000000010000000000000000000100000000000000000010000000000100100000000000000000000000010010110000000000000100000001000010010000000000000000000000000001001000000000000100001000001000000000000000100000010000001000000000000000000000000010010000000000000001010000000100000000010000000000000000000000000000010001000001010000000000001000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000110100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000010000101010111111111100100000000000000000000000000000000000010000111111111111111100001000001001010111111111100000100000000100111111111111111100100000000000000000000000000000000000000000000000000011111100001000000000000000110011111000100000010001111100111100111110001000000000000000110011111000100000010001111100111010110110001000000000000000000000000000000000000000000000000101010100001000000000000000111100000000100000010000001111000000111100001000000000111111000010100000100000010000111100010110111100001000000000000000000000000000000000000000000000000000000000000000000000100011000000110000100000010000101111110000111100001000000000000000000001000000100000010000101111110000000000001000000000000000000000000000000000010000000011110000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001111010000001000000000000000000000000000000000000100001111101010000000001000000000000000111100000010000000000100000011111111000000100000000000000000111100000010000000000100000011111111000000100000001000000000111100000010000000000100000011111111000000100000000000000101111111010010000000000100000011111111000000100000000010001000000011100010000000000100110000000000001100100000000011110000111100000010000000000100000011111111000000100000001000000000000000000000000000000000000000001111000000100000000000000000000000000000000000000100110101001111111100001000000001111101011010010000100000010000011001100100001000001000001010010110100101100010000000000100001001111001011000001000000011111111000111100000100000010000000101111010111000100000000010100101100110010000100000010000100101101001011000001000000010011001101111110000100000010000111011001001011000100000001000000000011010010000100000000100001001111110001000100000000011111100101100010010000000010001101101011010110110001000000000110011000000001000100000010001111100111100111110001000000000000000000000001000100000010001001111111100111110001000001000000000000000001000100000000000000000001110101000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101110101111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000100000010000111010101111111100001000000000000000000000000000000000010000101011111111100000001000000001010101111111100000100000010000111111111111111100001000000000000000000000000000000000000000000000001111111100001000000000000000001111001000100000010001001111000011110010001000000000000000001111001000100000010001001111001010110110001000000000000000000000000000000000000000000000000110010100001000000000000000111111010000100000010000001111000000001000001000000000000011010100000000100000010000000100011100111100001000000000000000000000000000000000000000000000000000000000000000000000101011010101110000100000010000111111110011001100001000000000000000111100100000100000010000101111110000000000001000000000000000000000000000000000010000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000010100111111111010000000001000000000000000111100000010100000010100000011111111000000101000000000000000111100000010100000010100000011111111000000101000000000000000111100000010100000010100000011111111000000101000000011110011111111010010100000010100000011111111000000101000000011111000000011100010100000010100111010100101011100101000000010101010111100000010100000010100000011111111000000101000000000000000000000000000000000000000000000001111000000101000000000000000000000000000000000010100010000001110111000001000000010111110100101100000100000010000100110011000000100001000000001101001011010010010100000010100100011010110100100001000000001011111111000010000100000010000100000011010100000101000000010100101100110010000100000010000011010010110100100001000000010011001111100000000100000010000111011000110100100101000000000000000011010010000100000010100100011011101000100101000000011111111101100010010100000010001101101011010110110001000000010100101000000001000100000010001001111000011110010001000000000000000000000001000100000010001001111110011110010001000000000000000000000001000100000000000000000001110111100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101111100111000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111100001000000001000101100111111111000000000000000000000000000000000000000001000000000001010101000010000000001110111111110110001000000000000001100111011101100000000000000000000000000000000000000000000000000000000000000010000000000000000111111111001000000001001001111000011110010010000000000000000001111001001000000001001001111000011110010010000000000000000000000000000000000000000000000001111110000010000000000000000000000000001000000001000110101111110000000010000000011110010111010000001000000001000000011111100110100010000000000000000000000000000000000000000000000000000000000000000000011111100110111000001000000001000111111111111111100010000000000000000000000000001000000001000101100101111111100010000000000000000000000000000000000001000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000001000000000000000000000010000000000000000111100000001000000001000000000001111000000010000001000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000000001111111111100000001000000001000111110111111000000010000000011111000001100000001000000001000000011111110111000010000001000000000111100000001000000001000000011111111000000010000000000000000000000000000000000000000000000001111000000010000000000000000000000000000000000001000000000101100110000010000000010011001011010010001000000001000000110000101000000010000000001011010000101000001000000001000111001000110100100010000000000000000100000010001000000001000010011011111000000010000000000000000010111000001000000001000111100001011000100010000000011000011000000000001000000001000111110101111000000010000000000000000110101110001000000001000101110001001011000010000000000100001111011100001000000001001101101011010110110010000000000001111111111111001000000001001001111000011110010010000000000110011001111111001000000001001001111111111110010010000000000000000111111111001000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110011101111111111111111111111111111111111111111111111111111 -00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111110000000000000000001000001111111100000000000000000000000000000000000000000000000000001110101000000000000001110111111111110000000000000000111111111111111100000000001000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001111100111100111110000000000000000000110011111000000000000001111100110011110010000000000000000000000000000000000000000000000000001111111100000000000000000000000000000000000000000000110000111111111100000000000011111011001010110000000000000000101011110000010100000000000000000000000000000000000000000000000000000000000000000000000011111011110111010000000000000000110011001110111100000000000000000000000000000000000000000000101100000111111100000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000000001111000000000000000000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000000001111111111100000000000000000000111111111111000000000000000010001000001100110000000000000000000011110000000000000000001000000000111100000000000000000000000011111111000000000000001000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000011111111111111100000000001010010110100101100000000000000000001001000011100100000000001010100101101111100000000000000000010011101001011000000000000000000000100000010000000000000000000001001111111100000000001000000000011000000000000000000000000011110111001000000000000011000011000000000000000000000000001100101010101000000000001000000000110101110000000000000000011101001001011000000000000011101101111110100000000000000001101101011010110110000000000001010101111111111000000000000001111100111100111110000000000011001100001111111000000000000001001111111111110010000000000000000000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001001010111010011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000001000000000000000000000011111000011001110000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000110011000111100000000000000000000000000000000110000000010000011001100011010000001100000001100110010000110011001111000100011001100111100000000000000000001100010001100010000011011000000100110000011000000000000001000000000011000000000000000000110000000001100000000000000001000000000000000000000000011011111111110001100000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110010001110011000000000011111011000000000011111011001111000000101100110000100000000000000000001100011000000000000011011000010000110001000000110000000000011111011000000000001001000110011110100010101100111101110011000000000000100011001011011100101011110111100000000000110011001100011000100011001100010010100110010100100100000000100011011100011000100011000000011100111110110111101100011001100011001100011001100011001111011110110011110001101100011001100011001100011001100011001011001110111001110010010001000001100011001100011001100011001111000000000000000000000000000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000011000110000110001111001000000000001100000000001110011000000011110100110010100111001110011111011000110000011001011000000000110000000000000000000000000000000000000110000000110000000011000110000010001100000000000000000000000000001100011000000011110101111110111100000000000000000000110000000000000000000011110111111110111100100000000110000000000000001100011000000000000001111000000000000000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000110000000110000000000000000000000000000000000000000000011110001100110110000000000000000000000000000001100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111101100011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001100000000000000000000000000000000001000000001000101000000000001000100100000100100000000000000100011010010000101010101101000000000000000000010100001010100001000001000010010010000000001100000000000100000000000001100000000000000000001000000110000000000000000100000000000000000000000000000100010001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010001000100101000000000000000000000000000000000000011010000000010000000010000000000000000000010100101000000000011000001000000010000100000000001000000000000000000000000000000100010000100101000000100100101100000000000000000000000000000100001100100001000001100000000000000000000000000000000000000000000010010000010010000000000000000000001000000010000101000000001000000101000000100000000000000000000000000000000000000001001000000001000000100000000000000000000000000000000000010000000000000000100000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000001000000000000110000100000000000100100000000010000000000000000010010000010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000110000000000000000000000000000000000000000000000110000101000010000000000000000000010000000000000000000000010110111010110001110000000000000000000000000000000000000000000001100000110000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000001000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010000100001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000001000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000100000101000000000000000000000000000000000000100000000000000000000000000000000000000000000111000000111000000100000000000000000010000000000000000000000000000000000000001000000000000001000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000001000000110000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000000000111000000000000000111000000100000000101001000000000000000000000000000000000000000000000000000000000001000000000000000100000000000111000000000000000010010000000000000000000000000101000000000000000000000000000010000000000110000001000000000000111000000000000000000000010010001000001001000001000010000000000000000000100000000000000000000000000110000000100000000000000000000000000000000000001001010000000110000000000000000000000000000000000000000000000010010000100110000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000010000000000000010000001001000001000001001000101000000100000000001000000000010000000000000000000000000000000000000000000100000000100000000000000100100000000000000000000000000000000000000000000000010000110010000100100000000000000000010000000000000000000000001000001001000101000000000000100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000100000010000000000000000000000000000000000000000000010000100010000000100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100101110101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000001000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000010001001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000000000000000000010100000000000000010100000000000000000000100000000000000000000000000000000000000000000000001000010000000000000000000000000000000010100000000000000000000000000110000000100100000011000011000000000000100011001000000100000001100001000000000000000100001100011000100011000000000000000000000000000000000000100011011000000100000000000000001100011000100011001100011001100011001100011001100011000001001100010001100001001100011001100011001100011001100011001000001100010000100010000000000001100011001100011001100011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000100000000000000000000000000000000001000011000000010001000000000000000000000000110100000100000000010100000000000100000000000000000000000000000000000000000100000000000000000001010000000000000000000000000000000000000001100001100000010000000000101010000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010001000100000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000010001000010000000100000000000000000000000000010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111110111111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000000001100000000000000000000000000000001100000000011000010000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001100010000001011110110000000000000000000000110000000000000111100110001001101100010000000000000000000000100000000000000011001001001001000000001000000000000000000000000000000101100011001111001111100000111100000000000000000000000000000001100111110110000110011000000000000000000000000000000000000000100000000001000111111011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100011110011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000110001110011010101110011001011000000001000110000000000000000100000000000000100100000000000000110110000010000000000000000110000000011010000000000000010000000000000110000000001000000110001010000100011000000000111001000000100110000000001000000110001110001100011100001000011010010000000111000000001000000100001011011010001000000000011000000000000011000000001000000110001110001100001100111010011000000000000110000000001000000110001010000000001000000000111000100000000110000000001000000110001110000000000100000000111000010000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001001000000000000000000000010000001000000110000000000000000001001000111100110010000000000000000000000000000000000000000111111010001100010000000000000000000000000100000000001100000111001000011000000110000000000000000000000000000000111100011110001000000010010111100000000000000000000100000000000000000000000000111100010000000000000000000000000000000000111100011001001000010011110110000000000000000000000000000000111100000001001000001110001000000000000000000000000000000000111100000000001000000000000001100000000000000000000100000000011000000110000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111001111110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000100000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000011000000000000000000000000000110000000000000000001000101000010000000000000000000000000000010000000000000000000000000000000000100000000000000000000000000000000010010000001101010000000000000100000000000000000000000000000000010010001001000001000110000000000000000000000000000000000000000000000000001000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100100100000000001000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000100000000000000001000000000000000000000000000000000010000000000000100000000010100000010000000000000000000000010001000000000000010000000010101000000000100000000000000000010010000000000000000000000000000000000010000000000000000000000010100000000001010000000010100000000000000000000000000000000001000000000001000000000000110000000000000000000000000000000000010000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000000000000000000000010000000000000110000000000000000000100010000000000000000000000000000000000000000000000001000000000010000000000000000000000000000000000010000000000000010000000000010100000000000000000000000000000000000000100000010000010000000000011100000000000000000000000010000000000000000000000010001000000000000000000000000000000000000000100000000100000000000001000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000010000000000000110000000000000000000000010000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001110101101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000001000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000010000001000100000010000000101000000000000000000000000000000000010001000000000001000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000001000000000001000000000000000100000000000000000000010000000000000000000000000000000001000000100000000000000000010000000000000000000000000000000000000000000000000000000100010000000001000000010000000000001000000000000000000000000100000000000001100000010000000000000010000000000000000000000000000000010001000000000000000000000100000000000000000000000100010000000001100000000000000000000000000000000000000000000100010000000001000000000000000001100000000000000000000000000100010000000101000000000000000001100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000010100000000000000000000000000000000001000010101010000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000100000000000001000000000000001000000000000000000000000000000000100010000000000000001000001000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000100100100000001000001000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001010000101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000001000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000001000000001000100000000000000000000000000000000000010000000000000000001000100000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000010000010000000000000100000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000100000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000000000010000000000000000000110000000000000000000000000000000000000000000000000000010000010000000000000000010000000000000000000000000000000000000000110000000000000000010000000000100000000000000000000001000000110000000000000000010000100000100010000001000000000000000000110000000010000000000000000000011000000000010000000000000001000000000000000000010000000000100000000101000000000000000000110000000000000000010000000000000000000000000000000000000000110000000000000000010000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000000000000100000000000000000000000000000000000100001000101000000000000000000000000000000000000000000000100000101000000000000000000100000000000000000000000000000011000010100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000010000001100000100000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110110000100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000001111000000001100000001101000000011101001001111010011000000001111000000111100000001111000000101100100001000000000001101000000000000000000000000000011000000000100000000001011000000000110011000101100110001110001100101000110001110011011000110011111000100000100100010010101100111100110011111011011000010001111001100101000110000011000000110100000001101000000000000000110000000000100000001100100100010100000001110001011100000000111100100011100000011111000001011100100001100011111000100100101001010011100000001111001000101000000001001000000000000000000000000000000000000000000000000000100000000011011000000000110000000001100000001011000000011100000001111000000000000000000000000000000000000000000000000000000000000000000000100000000011000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000110111100001100000001101100100111100110001111011011000000000010000000101100000000011011101111100100001100000000000000000000001000000000000001000000100111100000000011000000000100000000001010001100000000000000000000011111001111000000001111100110111100111101101100000001110111100100001100000000000000000000001111011000000001111101101011100000001100000000000110000000011100101100000000000001110000000000000000000000000110000000001110101000000000000101110000000010000000000000000000000000001111001000000000000001110000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010000000001110000000100110010001100000000001000000001110000000000000001110111100101000000001111000000100100110100011000000000000001111000000111101101000110000000011100000001100001111100010010110000000100100000000110000100111100000000100001111000000000111000101111100000001111000100111100000001110000000000000001111000100000010010001111000000001100000011111011011000000001100100100100100000000110000000101101001001110011001000100000110000000001100000000110000100101100000001110011000000000000110000000001100000000110001010101100000001110000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111001010000110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000010000000001000000000100000000000000000001010000000100000000100000000000001000010000000000000000000000000000000000000000000000001000000000000000000000000100000000000000010010000000000000000000000000010000000000000100000100000000000000000000000000010000000010000100010000000000000100001000000000000010100001000000100000000010000000000000000000001000000000000000000000000101000000000000100010001000000001000000100000010000001000000001000000000000010000100000000010000000000000010000100000100000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000010000000000010010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010000000001000010000100000000010000000000000000000000000000000000000001000000011000000010000010000000000000000000000000000000000000000000101000000000010000000000000010000000000000000100000000000000000000000000100000000000000100000100000010100000000000000010000000010010000010000000000000000000010001000000000001000100001000000000000010000000001010000000110010000000000000000010000000000000000000000000001010000000010000000000000000000000000000001000000000000000000000000000010000000000000000000010000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000100000000000000000000000000000000000000000000000000010000000000010010000000000100100010000000000000000100000000001000000010000101000000000010000000000000000000100000100000000000000000000000000100100000000000000001000000000001000000000000010000100000010001000000000000100000000000000100000000000000000000001001000000000000000001000000000000000000100000000000000000000000000000001000000000001000100100000000101000000000000000000000000000000000000000000000000000000000101000000000000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011100001001111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000001000000000010000000011000000000000000000001000000010000000000010000001000000000010010000000110000000001000000000000000000000000000000000000000000000000000000000100000000000000000000001000000001100000000100000000000000000000100010010110000000010000000000010000000000010000000100000101000001010000000001000001000001100000000000000000000000000001000000000000000010000000000010000000010010010000000000000000011000000000000000001010000000000000001000000000010000000000100000000000000000000000001010000000001000000000001100000000100000000000000000000000000000000000000000000000000010000000000000100000000010000000000000000000001000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000001000010000110000000001000000100000000000000000001011000000000001000010000000000000100000000000000000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000011000001000000000000000000000000110011000000000000000000000000000000010000000000000000000000000000000000000011000100000000000000010000000000000000000000000100000000000000000000000000000000000000000000000000000000000100100000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000000001000000100000001000000000001000000000000000000101000110001000000000010000000100000000000000000000000000001000000000100100000000000000000010000000001000000000000000000000000000000000000010000000000000000000000000010000000000000000000010100000000001000000001100000000001000000000000000001000000000000000100000100000000000000000001000000100000000000010010000000000000010000000000000000000001000000000010000000000000000010000000010000000001100000000001001010010000000000000000000010000000010000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100011101001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000001010000000010000000000000000001010000000001010000000000000000100000001000010000000010000000000000000000000000000001000000000000000000000000000000010000000000000000000000101000000100000010000000001010000101010100010010010010001000000000010000001000101000000000000000010000100010100000000000000000100001000001000000000100000000000000100010000100000000000000000000000000000000000000000000000001000000000000000000000000000000001000001000100000000001000000000000001000000001000100000001000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000100000000100000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000010000000000100000000000010001100001100000100000000010000000000000000000000000010000100010000000000000000000000000000000000000000000000000000000110010000000100000000000000000000000000010000000000000000000000000010011000000000000000000100000010010000000000000000000001110000000000000000000000000000000000000000000100000001000001000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010001000000100010000100000000000000000000011000000000000000100000000010000100000010000000000000000000000100000000000000001010000001000000000000000000000000100000001000000000100000000000000000100010000000100000000110010000000001000000000000010001000001000000000001010000000001000000000100000000000000001010001000000000100100000000001000100000001000000000100000000000001000100010000000100000000100010000001000000000100000000000000000010000000000100000101000000000001000000000000000000000000000010000000000100000000100010000001000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111101101100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000110000000111101101000001011000000001101001111000000001101001110000000100100000000001001010101101101000110000100000000000000010000000001001000000001000000000000000000000110000000000010000000101100010000011000100101100000000110000000000100000110000000111100110011111001010001100100010110000000010110000000000000100100000001110000000111110110000011000010000000000000000000011100100001111000000111100000000110000000010100001110000000010000000001111000000000100000000110000000000000000101001010111100100001111000000001100100000111000100000100000000000000000000000000000000000000000000000000000000000000000110001010100100000000010001000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000011110011110000110111100011110010000011000000001100100001110000000000000001111000000101100000001100000000111111111000000000000000100000000111100011100000001001000000000011001000000000010000010000000000000111100000000111000000000000000000000011110000110000010000101011100000000100000101111100110011111000010011110000000000000000000000001100001000000000110100000000110000010000000001110001000000000000000000000000010000000000010000110000000000000000100000000000011000000001111100000000110000110000000001110001100000000000000000000001111000000000000000100000000001000000000000000000000000000001101100000000000000000000000000000000000000000000000000000000000000000000000000110001100001000011100000000000000000001100100011110010110010110001000000000000100000000100000101111100010001110000000000000001111000000101100000000010000000000000000001111000100000110001111000001101100000001100000000101100000001111000110000100000111000001011100000000100000100011000000001111000000011110000000000000010000100000000001000000000000001111000000001000000000000000011100000000110000000101100000000000000010001000001111000001011100000000110000000001000000001111000000000000000110001000001100000000110000000111100000001111000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101001010000000111111111111111111111111111111111111111111111111 -00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000001000000000000100000000000000000000000000000000100000100000000000000000000000101000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000101000000000110000100000000000000000000000010000000000000000000000000000000000000011000001000000000100000000000000000000000000000001010000001000000000000010000000101000000000000000000000001000000000100000000000000000000000011000000000000001000000000000010010100000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000101000010000010000100000000000001000010000000000000000000010000000000000000000000010000000000010000000000000000000000000000000010000010000010000000000000000000000000001000001000000000000000000010000010000000000000000000000000000001001000000000010000000010000000010000001000101010001010001000000001000000000000000000000000000000000000000100000000001010001000000000010000001000000000000000000000001000000000001000001010000000000000000100000000000000010000001000000000001010001010000000010000000100000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000100001000100000000000000000001000010000000000000000000000000000000010000000000100000001000100000000000000000000000001000000000001000000000001000000000000000100000000000001010100100000000000000000000100000000000000000001001000010000000100001000001000000000000000010000000010000001011000000000001000000000000100000010000000000000000000000100000000000000001000000000000001010000000000000000000000000000000000000000001100000000000000010000000000000000000000000100100000000000000000000000000001100000000000000000011010000001000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100001000101010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000001000000100000000000000010000001000000000000000101001000000001000000000000000000001010000000000010000000000000000000000000000000000000100000000000000000000010000000000000000000001000000000000000000001000000000010000000000000000000000000000000000010101000000001000000000000000000000000101100000000000000000000000010000000000000100100000000001000000000000000000000000000101000000000000000000000000000000010100000010000000000000000001000000000000000000000000000000000000010000000000000100000001000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000110000000000001000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000101100101100000000110000001001000000000000000000000010100000000000000010100000000011100000000010000000000010100000000000000000000100000000110000000000000100000000000001000000000000000000000000000000001000000000000000000000000000000000000000101100000100000000000010000000000000000010100000000000010000000000101100000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001000000000000000000000000000000001000000101100101100100000000000000000000000000010100000001000100000000000000000011000000011000000000000000000000000000001000010000000000000010000000000000000000010000000000100000001000000000000000100000000000010000000010000000000000000000000010000000000101100000000000000000000000000000000000000000001000000000000000000000000000000000000010000000000000100000000000000001000000001000000000010000000010000000001000000000000010000000000000000000100000000000000010000000000000000000000010000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001101111011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001010000000000000000010000000000001010000000000000001000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000001000010010000100100000000000000000100000000001000000000000000000110000001000000000001001000000000000000000000000000000000001010000000000000000000100100000010000000000000000000000001000000000000101000000000100000000000000000000000000101000000000000000011000000000001000000000000000000000000000000000001000010000010001000001000100000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001100000000010000001000000000010000000000000000000100000000000000000000000000000000100100000000000000000000000000000011000100000000000000000000000000000000000000000000000000000000100010000011000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000100010000000000000100000000000000000000000000000000000000000001010000000000000000010000000100010000000000000000001010000000001000010000000100000000000000000000000000000000000100000000000000000000000000000000000000000000000000000010000000000001010000000000000000000000001000000000000100000000001010000000000000000000000001010000000000000000000100000000000000000000010000000000000010001000000000000000000100000000000010000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111011111101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000011000000001100000000000000001000000000111100000001110001000101100000001011000000001101000000011000000001100000000000000000001100000000000000000010000010011100011000110000100001110101100110001111000000000010000110100100011000110010110001000011000100011111000000000000000100000000011001101000110000000101100000000100000000000000000100100100111100000001100001010101010010000111000000000000001110000000101100000001101100100111100000001111000000000000001111000000011100100000111001010100111111100011000000000000000000000000000000000000000000000000000000000000000000000000000011000000011100000000010000000011000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001101100000000000000001101100000000000000000000000000000000000000000000000000000000000000000000000011110000111111100111000110000110000000011100010001010000000000000001111000000101000000001111001010110100000001101000000010010000000000000000100000000000000000001100000000000000000000010000000101110111111111000000001110011100100000000000000000010001100001111001100000000011001100100100000000000000000000000000000111100000000000000000000000000100000000010000000000110000000001110011100000000000001110000001101000000000000000000000000001100001100110000000000100000000000000000000000000110000000001111001100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000111001110001000000000010001011001110010001110000000000000001110111100001000110101111000000011100000001110000000000010000000000000001100010000000000000001000000000110000000000010011110001010001100010000011000000011100000000110000000000010001100000000111100000001111001000011000010001111000000010010000000111100111000000000000100000000000000001110000000010010010010000000001100000001110000000110100010001111000000000010000111000000011100000001111000000000001111000000000000000100000111000000110110110001111000000000000100000011000000000000000100111100011000000000000100100000000100000011000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010100101110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000100000000000000000000000000000000000000000110000000000000000000000000100000000000000000000000001000000000001000000000000000000001000000000000000000000000001000000010000000100000000000000000100000000000000000000001000000000010000000000000000000010010100000000000000000000000000000000010010000001000000000000000000000000000000000000000000000010010000000100000000000000100100000000000000000000001000000000100000001000000100110000000100000000000000000100000000001010000010100000000000010000100010000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000000000001000001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000010000010000000101000001011000000000000000000000000001010000000000000000010010000001000100000001000000000000000000000000000000000000000000000000001000000000000000000000100000000000101000000000000000010001000000000000000000000001000000000010000000000000001000100100000100000000000000000000000000000000010000000000000000000000010000000000001000000001010000000010001000000000000000010000000000010000000000000000000000000010000000101010000000010000000000000000000000000001010000000010000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100010000001000000000001000000000100100000101000000000000000001000010000000100001011000000100000000000000000000000000000000000000011000000000000000000001000000000000000000000000000100000000001101000010000000000010010000000010000000001000000010000001001000000001010100001000000000001000000000001000000000000010100000000000000000000000000000000000000000000000000000000000010000000000000000000000010000001001000000000000100000000000011010000100000000000000001000000000000000000010100000000000010011000100000000000000000000100001000000000000000000000010001010000000000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010111011100110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001000000000001000000000010000000001100000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000010000000000000000000000110000010001000000000000000000000001000000000000000000000000000000000000000000000000001100000010000000000000000000000000100000000000000010000000001000100010000000000000000001000000000001100000001000010001100000000000100000000000000000011000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000000110000000001100000000000000000000000010000000000000000011000000100100000000100000000000000000010100000000000101000000000000010000000000000000000000000000000000000000000000000000010000000011000000000000000000100000100000000000000000000010000000000000000000000000000100000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000000010100000110000000000001000000000000100000000101000000000001000000000000000000001000000000000000000000000001000000000001000010000000000000000000000000001000000000000001000000000000000010000000100000000000010000001000000001000010000000001000000000000110001100000000000000100000000000001001000000001000000000000000000000000001001000000001000001000010000000000000010000000000000000000001000000000000000000000000000000000000010000000000100001000001000000000000000000000000000000000000010000000110000000000000000010000000000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011011010111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000100000001000000000000000000000000000000100000100100010001000000000000000000000001000000000010000000001000000000000000000001000000000000000000010010000010100000101010000000000101000001010100010000000000010000001000000000100000000001010000000100000100000000000000000100000000000000100000100000000000100000000000000000000000000100000001000000110000000000000000010000000000010000000000000001000000000000000000001000000000000000000010001000000000000000001000000000100000100010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001010000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000110000000000000000000000010000100000000000000000000000000000000000000100000000000010000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000100110010000000000001000000000000000000000000000000001000000100000000010000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000010000100000000000000001000000000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000100000000000000000000000000000000000000000000001000000000010000000000000000000010001000000000000000000000010000000000000000000000000000000000001000000000100000000000000010000000000001000000001000000100000000000000100000010000000000000000001010000000000001000000000000000000000000010000000000001000001010000000000001000000000000000000000000000000010000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001111100010010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001111110101011100001000000000000000010111110000100000010000010011110101010100001000000000000000000000000000000000000000000000000000000000000000000000000011011111110000100000010000001101110000000000001000000000011010010001000000100000010000111100011101011100001000000001010101101111100000100000010000111111111111000100100000001000000000000000000000100000010000100011110000000000001000000011111110111100010000100000010000011000001111011100001000000011011111111011000000100000010000111000010011001100001000000000000000000000000000000000000000000000000000000000000000000000000000111111110000100000010000111011110111111100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110101110101110010000000000100111010111111010100100000001000000000111111110000100000010000111100110111111100100000000000000000000000000000000000000100111010110000000000100000000000000000111100000010000000000100111111111111000000100000001011111111100111110010000000000100000011111111000000000000001000000000111100000000000000010000000000001110111000000000000000000000111100000010000000000100000011111111000000100000000000000000111100000010000000000100000000001111000000100000000000000000111100000010000000000100000011111111000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011001000000000000100000010000000011111111000000100000001001010101001001110010000000000100000000001111000000100000000000000000000000000000000000010000111010001001011000001000000010010110100110010000100000010000001011011111000000100000000011101011101110110000100000010000110011100001000100001000001000000000000000000000000000010000101001010101010100100000000001011111001101100000100000010001111100111100111110001000000010010110000000001000100000010001101101011010110110001000000001011010000000001000100000010001001111110011110010001000001000000000000000001000100000000000000000001110111100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001011010001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001100110101011100001000000000000000010111110000100000010000110011111111111100001000000000000000000000000000000000000000000000000000000000000000000011000000011111110000100000010000001100110000000000001000000010101010001000100000100000010000111100110111110100001000000011111111101011100000100000010000010101010010111100000000000000000000000000000000100000010000000011100000000000001000000011101010000100010000100000010000010111001111010100001000000011111111110011000000100000010000111100000011011000001000000000000000000000000000000000000000000000000000000000000000000000000000111111100000100000010000111111110111111100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111110111010010100000010100111010110111110100101000000000000000011111110000100000010000111111111111111100101000000000000000000000000000000000010100101011110000000000101000000000000000111100000010100000010100011111111111000000101000000001011111100100000010100000010100000011111111000000101000000000000000111100000010100000010000000000001010101000100000000000000000111100000010100000010100000011111111000000101000000000000000111100000010100000010100000000001111000000101000000000000000111100000010100000010100000011111111000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011001000000000000100000010000111100001111000000101000000010101010011100100010100000010100000000001100110000101000000000000000000000000000000000010000101100100110100100001000000010010110100110010000100000010000111000011111000000101000000010111110111110100000100000010000110011001100000000001000000000000000000000000000000000010000101001011100001100101000000001011111110011000000100000010001001111000011110010001000000001101001000000001000100000010001101101011010110110001000000000001111000000001000100000010001001111111100111110001000000000000000000000001000100000000000000000001010101100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001001010101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001100111000010000000011110000001000000001000000001000111100100011011100010000000000000000000000000000000000000000000000000000000000000000000000000000111011110001000000001000101111110111001100010000000011111111000111100001000000001000111110001111111100010000000000000000111110100001000000001000111011101011011100000000000000000000111110110001000000001000110011101111011100010000000011111111100011100001000000001000101111110000010000010000000001100110111011100001000000001000111111110101010100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000111111101111111000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111111111110001000000001000111111111111111100010000000000000000111111110001000000001000111011101111111100010000000000000000000000000000000000001000111111111001111100010000000000000000111100000001000000001000001000010000000000010000001001010101010111000001000000001000011111111111000000010000000000000000000000000001000000001000101010100011001100010000000000000000111100000001000000001000000000001111000000010000000000000000111100000001000000001000000011111111000000010000001000000000000000000001000000001000000011111111000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100100011101010001000000001000111100000101101000010000000011110000001111110001000000001000101101111001100100010000000000000000000000000000000000001000000000000110100100010000000011011110001111110001000000001000100101100000111100010000000010010110001100110001000000001000011010011111111100010000000000000000000000000000000000001000000000001001011000010000000010100101110000110001000000001001001111000011110010010000000010111000111111111001000000001001111111111010110110010000000000110011111111111001000000001001001111111111110010010000000000000000111111111001000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001110010111111111111111111111111111111111111111111111111 -00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100000000000011001100000011000000000000000000111111110011001100000000000000000000000000000000000000000000000000000000000000000000000000000000111111110000000000000000101011111111101100000000000010111111000011110000000000000000100010001001100100000000000000000000000010110000000000000000111010100011001100000000000000000000111111110000000000000000111111011111111100000000000000001111100011100000000000000000101011111000001100000000000011001100111111110000000000000000111111101001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111101111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110111101111110000000000000000101001010111111100000000000000000000001100110000000000000000111111111001101000000000000000000000000000000000000000000000111100111001111100000000000000000000111100000000000000000000111011010000000000000000000011111111101011000000000000000000111111111111000000000000000000000000000000000000000000000000100010000011001100000000000000000000111100000000000000000000000000001111000000000000000000000000111100000000000000000000000011111111000000000000000000000000000000000000000000000000000011111111000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011011000100000000000000000000000011110011001100000000001000001111111100110000000000000000100001000110011000000000000000000000000000000000000000000000000000001001011000000000001011101101000011000000000000000000011010011111000000000000000001101001110011000000000000000000100101101101110100000000001000000000000000000000000000000000000000000110100100000000000000110011101010100000000000000001111100111100111110000000000010111000111111111000000000000001111111111010110110000000000000110110111111111000000000000001001111111111110010000000000000000000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000101111010010101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110111000110111100000000000000000000000000001100011001011000000000000000000000000000000000000000000000000000110000000010000100000010100100000000000000000000000000001100011000000001000011001100010000000000000000000011111011001110010001111000000110000000110000000000000000000000000000000000001100000000110000000000110000000000000000000000000000000000000000000000000110011000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110011110010100100000000000000000001100011011111011001111011000110001000000000000000000000000001100011000110000000011011110111100110111100000000000000000000000000101100111000001000000000000000000000000011000000000011001000000000000000000000000000000000000000000100100000111100000110100000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000110000000110000000000000000011100111100110111100000000000000000001100011001100011000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000110000000000000000110000000000000000000000000000000000011110111111110110000000110000000000001000011001100111000000011000000000000000000000110000000000001100011000000000000000000000000000000000000000000000000110000000000000000000000000001110111111110111100000000001000011011101011001000011000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001000000000000000000000000000001100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110100101001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000010000000000010000000000000000000000000000010100101000000000000000010000000000000000000000000000000100000100000010000000000000000000000000000000000000000000000000000000000100000000000000000000001000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100011000010010000000000000000000000000000000000000010000001000000000100000000000000000000000000000000000000000010000001000000100000000100000000000000000000000010000000001000100000000000000000000000000101000000000000000000000000000000000000000000000000000000000010000001000000001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000100000000100000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000010000000000000000000000000100101010100100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100111010110111000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000011010011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000110000000100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000100000000000001001000010000000000000000000000000000000000000000000000000100000000000000000000000000000000111000000111000000100000000000100000000100000000000000000000000000000000000000000010000000000000001000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000001000000000000000000000000100000111000000100000000000100000000000000000000000000000000100001000000000000010000100110000100100000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000100000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100001010000000000000000000000000110000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000001001000000000000000000000000000000000001000010001001001000001000100000000000000000000001000100000000000000000000000000000000100000000000000100000000000000000000000000000000000000000000000001000100000000000000000000000001000001001000001000000000000000100000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110000101101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011000100011000000000000000000000000000001100011001000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000001010001000000100000000000000000000010000000000000000110000000010000000010000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000000000000000000010001000100010100000110001000010000000000000000000000000000010001000110000000000010010001000110000000100000000000000000000000000010001000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000011000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000000000000000001000011000100011000000000000000000001100011001100011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001101100000000000000000000000000000000000000000000000001000000000000000000000010000000000010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100010100000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110110101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000100001000011000011100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000100000000101100011000001000001111110000000000000000000000000110000000001100011001111010011101000010000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000011101011000111101011100000000100000000000000000000000000000001000000000000000001100110000000000000000000000000000000000000000000000000001001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000001110000000010000100001000110000000000000000100000000000000001100000000000001000000000010000000000000000100000000000000000000000000011000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000100000000111000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000101100000000011100000000000000000000000000000100000000000000001100000000001101000000000000000000000000000000000000000000000000000000000000000001100000000000001000000110000000100100001100000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001100000000000001000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110011001101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000010000001000101010010000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100001000000010000000110000000000000000000000000110000000001010000000000001001000100000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000101000100000001000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000100000010001000000000000000000000000000000010000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000100000000000000000000110000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001110011110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000001000000000000000000000000000000000000000000100100100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000100000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000100000000000000000000100000000000000000000010000000000000000000010000000000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001000111101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000010000100000000000000000000000000000000000000000000000100000001000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000101000000000010000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000100000010000010000000000110000000000000000000000000000001000000000000000000000000000010000000000000000000000000000000000000000010000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000000000000001001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110110110001101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000110000000000000000001100000000101100000000110000000000000000000000000000001100000000011000000001001000000001000000000000100000000011000110001100000000001000000001110011011000000001110000000101100010000100000000101100110011111000000000000000000000100000000000000000000100000000100000000001111100000000100000000001110010000011011000111100000001110011011001100101110000000011000000001110000000111100000001111000000000000001111000000000000000001100011010100100000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011000000000010000001001000000001111101101101100110001100000000000000000000000000000000000001100001100100100000000000000000000000000000011000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000001100000000000000000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111001100011000000001111000000000000000000000000000000000001000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111100011001100101110001100001100000000010000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000111100000001100101100011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100110010000101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000001000000000000000000000000000000001000000001000000000000000000000000000000000000000000001011000000000000000001000000000000000101100000000011000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001001101000100000000000010011000000001000001000000100000000000001000000100000000001000000000000000100000000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000101000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100100100000000010000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000110000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111101101110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000010000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000000000001000000000000000000001000000000100000000010000000000100001010000000000000100000101000000000000000000000000010000000000000000000010000000000100000000000000000000000000000000001000000000000000000000000001001000100000000001000000000000000000010100000001000000000000011000000000000001000000000000000000010100000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000000001000110000000000000001000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000101000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110000000000000010100000000100000000001000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011100111111111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000100000000000000000000000000000000001000000000010000000000000000000000000000000000000000000000001000000001000000000000000000101000000000000000000000000001010000000000000000100010010001000000000000000000000000000000000000000000000000000000000000000000100000000000001000000010000100000000000000100110000000000000100000000001000000000010000000000000000000100010000100000000000000000001010000000000000000000000000000001000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001100000000100011010001000000000000000000000000000000000000100000000000100010000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000100001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000100000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000001001000000000000000001001000000000000001111000000000100011101001001100110100000000000000110000000000000000000000000000000000000000000000000000010000000000100011110000010001000000100000001110000000000000000000110000000010010000010100100011100000001100001000011100010011111000100000000000000000000000000100000000000000000001101000000010010000000001100000000001100000001111000000100100000001110000000001101101100000000111000000000111000000101100100000011000000000000000000011000000000000000000000000000010010001111000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100011110000000000000100100100100011000000000010110000000000100000000000000000000000000000000000100000000000110000000010000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000111100000000110000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000011110000000001010000000000001100000000000000110100000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000000000000100100000000000000000011110011110000000111100011000000000011000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000100000000000000000000001111000000111100000000000100000000000110100000000000000100000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000100000111111111111111111111111111111111111111111111111 -00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000001000000000010000100000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000100000000000000000001000000010010000000000000000110000000001000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000001010000010000000000000100000100000000000000000000000101000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000110000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000011000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000000000000100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001100101100001010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000100000000000000000000000010000110000000000010000000000000000000000000000000000000000000000000000000001000000010000000101100000100000000000000000101000000000000000000001000000000101000000000110000000000001000000000000100000010010000000100000000000000000000000000100000000000000000000000000001000000000000100000000000100000000010000000100000000000011000000000000001000000000001000000000000000000100000000100000000000000000000000000000000000000000000000000000001001001000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000000000110000000000000000000000001000000000000000100000000000000000000000000000000010000000000000100000001000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000010000000000000000000000101100101100000000110000000000000001000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000010000000000000000000000001000000000000000000000000000100000000000000000000000000000100000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110101100111011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000100000000000000000000000000000000000000000000000000000100000001000000010000000010000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000100000000000001000001000000000000001000000000000001000000000000000000100100000000000100000000010000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000100000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011010111010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000001110011000000000000000000000001100000100000000000000000000000000000000000000000000000000000000000000000000000000010110111100111100010000010000000100100100001010000000011110001111011000011110010001111000001101100000000000000000000010000000001100000000010000000000000000000110000000000000010010000111100100001100000010011000000111100000000110000000000000001101100100111100000000110000000011100000000111000000000000000000000000100101100100000000000000000000000100000000000000000000000000000001100100000100100000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000111100111100110000011000000000000000001111000000000000000000000000000000000000000000000000000000001100000000000000000000111100011000000000000100000000000000000011000000000000000000000000000000000000000000000000000100000000000000010010000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110101100000000000100000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000100100000000000000000000000000000000000111100100100000000000100100011000000000110000000000000000000000000100100000000000000000000000000000100000000000000000000000000000000000000000100100000000000000000000000011110000011111100111100000000010000000001000000000110000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000101100000000000000000000000000001111000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011110101111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000011000000000000000000000000000000000000000001100100000010010011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000100010000000001000000000100000000000000000000000000010010000100110000000000001000000011010000010100000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000001001000000000000000001101000000000000000000000000000000000000000000000000000000000100000000000000000000101100000010000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000011000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001000000101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000010000000110110000000000000000000001000000001001000000000101100010000000000001000000100000000011000000000000000000000001000000000000000000001000000000000000000000100000000000000100010000000100000100000000000000000100000000010001000000000000001000000100000000000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000110110010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000100000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000100000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000100000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000110011000000000000010001000000000000001000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000101100000000110100100000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000010010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000010000000001000001000000000000000000000000010000010000100000000011000000000000000000000000000000000000000001010000000000000000000000000000100000000000000000000000010000000000000000000000000001010000000000000000000000000000000000000010010000001000000000000000000000000000000000000000000000000001000000000000000000000000000100000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000010000000000000000100000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000100000000000000000000000000000000000100000011000000000000010000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000000000000000000000000000001000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010101110100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111111111111111100100000001000000000000000000000000000000000000000000000000000000000000011001110001100110000100000010000000000001111000000001000000011101010000001010000100000000100001111101011000000001000000000000000000000000000000000000000000000000000000000000000001011111010000000000000100000010000011100011010111000001000000010111010001111000000100000010000000000110010000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111110000100000000100000000001111001100100000001000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000001111001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000100000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000010000010101011010101000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000010100000010000000000100001001110000111100100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111101000100000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010111011101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000111111111111111100001000000000000000000000000000000000000000000000000000000000000000000011001110111101110000100000010000000000000011010000001000000001010111111101010000100000000000011111011111100000001000000000000000000000000000000000000000000000000000000000000000000011101010000000000000100000010000000100001010101000001000000011111011001111000000100000010000010101111011101000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111110000100000010100000000000111101100101000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000011111100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000101010101100001100101000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000010000000010100000010100100011010110011000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000101111111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111111011101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000111111110110000010000000011011101111111110001000000000000111101100101111100010000000000000000000000000000000000000000000000000000000000000000000011010010110000000001000000001000001001100000000000010000000011111111111110110001000000001000101001011111110000010000000000000000000000000000000000000000000000001111111100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000001000111110010101111100010000000000000000000000000000000000000000000000000100010000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100110011001100100010000000000000000000000000000000000000000000000000000111100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000001001100100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000111010101111111111111111111111111111111111111111111111111 -00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111111111111100000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000010111111111111100000000000011111111111110110000000000000000111101101001111100000000000000000000000000000000000000000000000000000000000000000000000011111111111010000000000000000000000110010000000000000000000001000101111101010000000000000000101001011111110000000000000000000000000000000000000000000000000000000001001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110011010111100000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000100110011111000000000000000000000000000000000000000000000000000000000011001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001111100110101011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000001100000000000000000000000010000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000010000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000100010000001100000000000000001100000000110000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000100000000000000000000000000000000000000000111100000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000001100000000000000000000000000000000000110000000000000000000000000000000100000111100000011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100110000000000001100000000000000000110000000000000010000000010000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110110001101001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000100000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000000000000000100100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000001000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001100000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000111011000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000010000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001011011010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000010000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100101001011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100000110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001010100010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001010100010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001000000000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111010000111101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111011100010001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000100100000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001101000000000000000000000000000000000000000000000000000000000000000000100100000010010000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111001010000111111111111111111111111111111111111111111111111 -00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001010101000101000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000010000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001010000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011000101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001101000001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110010010110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000100010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110001111010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111101010011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110110001001101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000110000000000000001111000000001100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000001100000000000100000000001100000000000000000000000000000000000000000000001111000000001100000000000110000000000000000000000000000110001111000000110000000010000000000000000000000000000000000000001100000000000000000000000000110000000000000000000000000000001111011110000000000000000000111100000111100000001100000000001111000000000011001000000000000100000110000000110100000000001100000000000000110000000000100100000111100000110010001000001111000110000000000000000000000000000111100000100000000000001111000000000011000000000000111100000000000000000000000000001100000000000000000001100000111101000000000000000000000110001111000000000000000000000000001100000110000000000000000000000110000000000000000000000000110000000000000000000000000000001100000000000000000000000000100000000110000000000000000110001111000000001100000001100000110000000110000000000000000000001001000000111100000000000000000000000000000000000000000000001100000000001100000110000000000000000000000000000000000000001111000110001100000000000000000000000000000000000011000000001001000000001111000001100000000000000000000000000000000000001100000000001100000000000000000000000000000000000000000000001111000000001100000000000000000011000000000000000000000000001111000000000000000001100000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001111101101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000001100000000000000000000001000000000000000000000000000000000000000000000000000001000001000000000000010000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000111000000000100001100000000000000001000000000000000000000000000100000000000001001000000000000010000000000000000000000000000100000000100000000000000000000001000000000000000000000000000000000000100000000000000000010000000000000001000000000000111000000000000000000000000000000000000000000000001000000000111000100000000000000000000000000001000000000000000000000001100000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000010000000000000000000000000000000000000010000000000010000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000010000000100000000010001001000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000001000000010000000000000000000001100000000000000000000000010000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001111111000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000010010010000001010000000000000000000000000000000000000000000000010010000000000000000000000000000100000000000000000000000000000001000100000000000000000000001000000000100000000000000000010001000000000000000000000000000000000000100000010000000000000010000000000000100000000000000100000000100000010000000000000001000100000000000000000000000000000000100000010000000000010100000000000000000000000000001000000000000000000000000000010001000000000000000100000000001000000000000000000000000010000001000000000000000000000000000000000000100000000000000000010000000000000000000000000000001000000000000000000000000000010001000000000000000000000000000100001001000000000000000010000001000000100000000000000001000100001000100000000000000000000100000000010000000000000000000000000000000000000000000000010010000000100000001001000000000000000000000000000000000000000001010010000000000000000000000000000000000000000000000000000010000000000000000100000000000000000000000000000000000000010100000000100000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000100000000000000000100000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101101111001001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000010000000000000000000110000000001000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000000100000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000110010010000000000000000000000000000011000000000000000000001000000000000000001000000000000000000010000000000000000000000000000000000010000000000000000000000011000000000100000000000110010000000000000000000000000000000011000000000000000000001000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000110000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000110000000000000000100000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000100000000000000000000000000000000000000001000000000000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000100000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011111100111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000000000000000000000000000000000000000100001111000000011000000000110000000000001111000000000000000100000011000000011000000001001100000000000001000000000000000000000000000000000110000000000000000000000000000000000000000100001111000000000110000000101000000000001111000000000000000100000011010000000100000000001000100000000001000000000000000000000000000000100110000000000000000000000000000000000000000100001111000000011110000000000000000000001111000000000000000100000011000000011000000000011100000000000001000000000000000000000000000000000110000000110000000000000000000000000000000100001111000000000001110100001100000000001111000000000000000100000011000100011101110100000000000000000001000000000000000000000000000000000000000001111100000000000000000000000000000100001111000000000000000000101100000000001111000000000000000100000011100100011110110000001100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000100001111011010000110000000111100000000001111000000000000000100000011111100000100110000001100100000000001000000000000000000000000000000000010000000000000000000000000000000000000000100001111000000000110000000000000000000001111000000000000000100000011000000011001001000010001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000100001111000000000010000000111100000000001111000000000000000100000011000000011100100000110000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000100001111011000000000000000000100000000001111000000000000000100000011000000000110000000000000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010000000000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000111000000001000000000010000000000001011000000000000000010001000000000000000000001000000000000000100000000000000000000000000000000010000000000000000000000000000000000000000000000000111000000100000000000000000000000001011000000000000000010001000100000000000000000000010000000000100000000000000000000000000000000100000000000000000000000000000000000000000000000000111000000100000000000000000000000001011000000000000000010001000000000000000000001000000000000000100000000000000000000000000000000010010000000010000000000000000000000000000000000000111000000000000001000100000000000001011000000000000000010001000000000000000000000000000000000000100000000000000000000000000000000000000000000110000000000000000000000000000000000000111000000000000000000100000000000001011000000000000000010001000010000100001000000100100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000111000000010000000000100000000000001011000000000000000010001000100010000001000001000010000000000100000000000000000000000000000000100000000000000000000000000000000000000000000000000111000000010000000000000000000000001011000000000000000010001000000000000000000000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000111000000100000000000100000000000001011000000000000000010001000000000000001000000001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000100000000000001011000000000000000010001000000000100000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110011000111011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001000000000001000000000000001000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000101000000000000000000000000001000000000000000000001000000000001000000001000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010000000000000000000000010000000000000000000001000000000000000000001000000000100100100000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010000000000000000000000000000010000000000000001000000000000000000001000010000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000000001000000000000001000000000000000000001000010010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000001000000000000000000001000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000001000000000000001000000000000000000001000000000100100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000101101011011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000001000000000100000001000000000000000000000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000100000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000010001000000000000000000000000000000000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000000000000000010001000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001100000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010100101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000100000000000000000100100000000000000000011011000000000000000000000000000001111000000000000000000000000000011111000000011010000001100011111011000000000000000000000000000000000000001100000010010000000100100000000000000000011111110010000000011000000001100000111000000000000000000000000000001101100000111100000000000001010011000000000000000000000000010010000000001100000001101000000100100000000000000000010011000000000000000100000001101001110000000000000000000000000000000000000000001000000000000001111011000000000000000000000000001100100000100100000000000000000100100000000000000000011011011011000000101100000011110000111100100000000000000000000000000010000000001100000000000001010011000000000000000000000000000010000000011110000010010000000100100000000000000000001111101100000000000000000011110000101000000000000000000000000000000000000000111110000010010001110011000000000000000000000000000000000000001100000011110000000100100000000000000000011111000000000000000000000010010000111000000000000000000000000000010010000000001000000000000001110011000000000000000000000000011111000000101100000001100100000100100000000000000000011011010000000000011000000010010010110000000000000000000000000000000000000000000000000010010001111111000000000000000000000000000000000000011110000001110000000100100000000000000000011011010010000000000100000000000000111000000000000000000000000000000000000000001100000000000000110011000000000000000000000000000000000000000100000010010000000100100000000000000000011111000000000000000000000000000000111000000000000000000000000000001101000000001000000000000001011011000000000000000000000000000000000000011000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000011011000000000000000000111100000001111000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011011111101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000001000000000000000000000000000000000000000000000010000000011010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001000000000011001000000000000000000000000000000000000000000001000000000000000001000010000000000000000000000001000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000010000000000000000000000000001000000010000000000000000000000000000000000000000010100000100000000000100000001001001100000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000100000000000010000001000000000000000000000000000000000001000011000000000000000001001010100000000000000000000000000000000000000000010010000001000000001000010000000000000000000000000000000000000000000001011000000000000000000000000000000100000000000000000000000001000100100000000000000000000000000000000100000000000000000000000000100000010000000000000000000000000100000000010000000000001000000000000000000000000000010100000000000000001000000001000000000000000000000000000000000000000000000000000000000001000100010000010000000000000000000000000000000000000010000000011000000000000000000000000000010101000100000000000000000000000100101000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000000000000000001000000000000000000000000000000000001000000000000000000000000000001100000000000000000000000000000000000000000000000000000000100000000010000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000100000000000000001000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001010101110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000010100000000000000000000000000000010000000000000000000000000000011000000000000000000000000001000000000000000000000000000000000000000000100000000001000000000000110000000000000000010000001000000000100000000000000000000000000000000000000000000000000000000000110000000000000001000000000000000000000000000000000100000000100000000010000000000000110000000000000000000010000000000000000000000010000000011000000000000000000000000000000000000000100000000000000000010000000000000000000000000000000000000000000100000000000000000000110000000000000000000000001000000000110000000000100000001000100000000000000000000000000010000000100000000000000001001000000000000000000000000000000000000000000000000000100000000000110000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000100000000100000100000000000000000000000000000000000000000000000000000100000000000110000000000000000010000000000000000000000000000100000001000000000000000000000000000001000000000100000000000000001000000000000000000000000000000001000100000100100000000000000000000110000000000000000001000101000000000000000000000100000000000000000000000000000000000000000000000000000000000100001001010000000000000000000000000000000000000000000000000010000000000110000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000100000000000110000000000000000000010100000000000000000000000000000000000000000000000000000000000000000100000100000000000000000101000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000100000000000010000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000110100011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000010000000000000000000000100000000010000000000000000000000000000000000000100000000010000000000000000000000000000000000000010000000000000000000000110000000000000000000000000000000000000000000000100000000000000000000010000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000100000000000000000000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000001000000000000000000000000000000000000000000000000000100000000000000000000010000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000110000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001000000000000000000000010000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000101000000000000000000100100000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110100100001001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000010111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000100100000000000000000000000000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001111000000000000000000000000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000001111000000111100000001111111100000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101011011111111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000010000000000100000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100011110010100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000000001000000000100000110000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100100010010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000100100000001010000010000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111101010101011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000001000000000000000001100000000000000000000000000000101100000001111000000001101001111000000011000010001110000000111110110000110000000000000000000100100000000000000000000000101100010000100000000000000001100011000000000000000000000000111000100001110000000000000001111100100110000000001111000000111100000001111000000000000000000010000000000000000000000000101101101001100000000000000001100101100000000000000000000000111100000000111000000000000001011000000111100000001111000000101101101001110000000000000000000101100000000000000000000000011100000000100000000000000001100100100000011110100000000001101111100001110000000000000011110000000110000000000111000000001100000001110000000000000000000000000000001100000000000000101110110000100000000000000001100000000000000000000000000000110100000001110000000000000000101000000001110000001110000000111100000000110000000001100100000000000000001100000000000000011100000001100000000000000001100000000000000000000000000000101100010000110000000000000001011000000001100000001111000000101100000000111000000001100100000000000000010010000000000000101101100001100000000000000001100000000000000000000000000001111110010001011000000010010011111000000001100000001101000000101100010001110000000000000000000000100000000000000000000000110100000001100000000000000001100000000000010010000000000000001100010001110000000000000011110000100110000000000111000001011100010001111000000000000000000100100000000000000000000000101000000001100000000000000000100100100000000000000000000000111100000001111000000000000001111000000111100000000110000001011101101001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000011000000000000001111000000111111110000000011000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010110101110111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000100000000000000000000000000000000000000100000000000000000100010000001000000000000011000000010010000000000000000000000000000010000000000000000000000000000000000000100000000000000000000100010000000000000000000000001010000000000000000000000100001000000100000000100000000000010010000010000000000000000000000001000000000000000000000000001000010000010000000000000000100000000000000000000000000000100000000001000000000000000001000000001000000000001000000000010000000000000000000000000000000000000000000000000000000000101000000000100000000000000000100000100000001001000000000000000000011000000000000000000000010000000100000000100100000000000000000000000000000000000000000000000000000011000000000000010000000000000000000000000000000000000000000000000000000000100100000000000000000000000010010000000000000000000001000000010010000000001000000000001000000000000000000011000000000000101000000000000000000000000000000000000000000000000000000000000000000000001000000000000001000000000000000000100000000000011000000100000000000000001000000000000000001000000000000000001000001000010000000000000000100000000000000000000000000000100000100100000000000000000001010000000011000000010010000000010000000000000000000000000000000000000000000000000000000000110000000000010000000000000000000000000000001000000000000000000100000000011000000000000000010000001000000000100100000000000010000010000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000000000010010000001000000000000010000000110000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000000000001000000001000000001000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111010101010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000000000001000000000000000000000000000000100100000010100000000010000000101000000000000000000100000000100111000000001000000000000000000000100000000000000000000000010000000000000000000000000001000000000000000000000000000000001010000000011000000000000000010010000001000000001000000000000100000001000000000000000000000000000000000000000000000000010000000000100000000000000000100110000000000000000000000001100000000000000000000000000000100000001100000000001000000000100100000010101000000000000000000110000000000000000000000000000000000000000000000000000000010000100000000100000000000000001001000001000000000000000000100000000001000000000000000000000000000000010000000000000000000000000000000000000000000000000110100000000000000000000010010000000000000000000000000000000000000010100000000000000000000000001000001000000100000001100000000000000000000000000000000000000000000000000000000000000000000000100000000000000001000000000000000000000000000000110100000000000000000000000000100000000010000000001000000000100000000000001000000000000000000000000000001000000000000000010000000000100000000000000000100000000000000000000000000000000000100000100000000001001000100000000000000000000100000000100100000010100000000000000000000000000000000000000000000000000000000001000000000000000000010000000000000100000000000000000100000000010000000000000000100000000100000000000000000000010000000010101000000000000000000000100000000000000000000000001000000010010000000000000010000010000000000000000000000001000000000010100000000000000000100000001100000000000000000000000000000110101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000010000000100000101100000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011011100011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000001000000000000100000000000000000000000000010000010000000000000000000010001000000000000000000000000000000000000000000000000000110010000000000000000000100000000000000000000000000000000000000000100000000000000000100000001000000000000100100000000000010000010001000000000000000000000000000000000000000000000000010000000000000000000000000000001000000000000000000000000001000000001100000000000000000100000000001000000100100000000000001000000000000000000000000000001000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000101000000000000000000000000000000000000100000000110000000000101000000000000000000000000000000000000000000000000010100100000000000000000000000000000000000000000000000000000010000000010000000000000000000000000001000000100000000000000000000001000000000000000000000000000000000000000000000000100000000100000000000000000100000000000000000000000000000000000000010001000000000000000000100000000010000000100100000000000000000001000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000001000010000000100000000000000000000000000000000000000000000000000000010000010000000000000000000001000000000000000000000000000010000000000000000000000100000000000000000000000000000000000000010000000000000000000000000000100000000000000100000000000000010000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000000000001000010000000100000000000000010000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000010000000000000001010000000100100000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101010110010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100101010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010111101010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001001100100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001011110110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000011000000001101000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011000000000000000000000000000000000000000000000000000000000000011011001001000000000000000000000000000011000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000001101000000011000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000100000000000000000000000000000000000000000000000000000000000100000000010000000010010000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000001000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000010010000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000001101100000010000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000010010010010000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001101001110000000000000000000000000000000000000001100000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000010111111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000000000000000000000000000000000000000001000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000011000001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001001000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001111101110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010100110100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000100100000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000111011100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001101101101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100110111111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000101101000001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000110000000000000000000000000000000000000000000000000000000100000000000000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110101110000000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100101010100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110111111110111100000000000000000000000000001100001000000001100110101100101000000000000000000011111011001100011000000001100110101100101111111011000110000000000000001100011000000000000001000000111100000000000000000001100011000000000000000000000001100000000000000000000000000000000000000000000000000011110110011000110100000000000000000001100011000000000000000010000000000000000000000000000000001001100011000000000000000011110011111110110000010000000000000011111011011111010000000000000000000000000000000000000000000000000000000000000000000001110001000100011000110001100000000011111001100000000001111011000111100000111100000001100000101100000110000000000000000001100001100110011000000000000000000001100001011111011001111011000110000000000000110000000000000000000110000000000000000000000001100000000000000000000110000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000001100110110000110000000000000000110000000000000000000000000000000000000000000001100110000000000110000000000000000000100010101000011101110011001100011101100010001100011100000000110110000010110101100011001100010000100000000000000000000000110000000110000001100011000010000000000001100000000001100001100011001100111000110000011111011011111011001100011001111011110111111110110111111011001100011001100011001100011001111001100011001100011000110110010110011011111011001100011001001011110011111110111001010010101100011010010011110100101001111011110101111110111110000100110010100101100011001010010001111011110001111110011010010100101100010101100011001100011001111000000000000000001010100010101010011001100011100000000001111000110110011111001100000000000000000000000000001100001000000000000001100000110010001000000010000000000000000000000000000000010000011000110000010000000000001100000000001100010100000010000101100110001111001000000000000000000000000000000100000010110011001110010111000000000000000001000011001110010000000000000111100110101100000000000000000000000000000000000000000000000001100000100000000000000010000000000000000000000000000000000001100000110000000000000000000000000000000000000100000000110001111110111000000000000000000001100011001100011000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001101111011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000101000000100000000000000000000000000000000000000000000001001000000000000000000000000000000000000010100101000000010101000010101100000000000000000000000000000010100101000000000000100000000000100000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000010010001000010010000000000000000000010100101000000000000000000010000000000000000000000000000100000000000000000000000000000010010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000101010000100100000000000000000100000000000001001000000100000001100000000100000010000000000000000000000000000100010010000000000000000000000000010100100000000101010000000010000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000001000000000100100000000000000010000000000000000100100000010000000000000000100000000000000000010000000000000000000000010000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000010100101000001001001000001001000000000000000000000000000000000000000000110000000000000000000000000000000010000000000000010100101010000001000000101000100000000000100000000001000000101000000000001001000000101000000101000000101000000100000000000000000001011001000000101000100001000000100000000100000000000000000000001000000000000000100001000000100000000010000010000000000010000010000001010101100000000000000000000000000000010100100000000000000010000000000000000000000000000000000000000000000000000000000000001000001000000000000000010000000000000001000000000000010000100000010000100000000000000000000000000001000000000010010000000000010001100000000000000000100101010100001000000000000110000000010000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000001000000000010000110010110100000000000000000000010100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110011100001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100110000100100000000000000000000000000000000100000000000000010000000110000000000000000000000111000000000000000000000000001000000010000111000001000000000000000000000000000000000000000000000000100000000000000000000000100000000000000000000000100000000000000000000000000000000000000000000000000000000100010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001000000001000001010000000000000000000111000000111000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000000000110000000000000010001000000110000000110000000000000000000100000000100000000000000000000100000100000000000000000000000000000000000110000010100000000001000000000001000000000000000000000000100000000000000000000100000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000101000000000000000001000000000000000000000000000000000000000000000000001000000000000001000000000000000000000000000000000001000000000000000000000000000000000000000010000000110001010000000000000000000000000000000000000000000010000000010000000000000100001000000000000000000000000000010000000100000000101001000000000111000000111000000000000000001010000000110000010000111000000000100000000000000000000001001000000100000000100001000010000111000000111000000000000000100010000000010000000110000000000000000010000000000000000100001010000000110000000100000000110000000100000000010000000000001010000000010000000010000000100000000000000000000000000001001000000000000000000000000000010000000000000100000000000010100000100010000100000000000000000000000000000000000000000000000000000100000000001000000000000100000000000000000000000000000000100000000000001000100000000000000000000000000000000000000000000010010000100000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000100000000000000001001010000101000000000000000000000000000000000000000000000000000000000001000000000001000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000001000000001000010000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111100001100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000110001000100000000000000000000000000010001000000000010001000010001000000000000000000000000010100000000000000000000000010000000000000010100010000000000000000000000000000000000000000000000011000000000000000000010001000100000000000000000000000000000000000000000000000000000000000000000000000000001000000001000010000000000000000000000000000000000000000000000000000000000000000000000000000000010001000100000000000000001100011001100010000000000000000000000010100000010000000000000000000000000000000000000000000000000000000000000000000000001000001000100000000000000000000000000010100000000000001000000001010000000000000000100000000100000000010000000000000000000100000000000001100000000000000000000000000000010000001000001000000100000000000100000000000000000000010000000000000000000000000000000000000000000000100000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000001010000000110000000000000000010000000000000000000000000000000000000000000000100010000000000000000100000000000000000100000101000010011000011001100110001100010001100010000000000000000100000000001100011001100010000000000000000000000000000000000000100000010001000100000000000000001000000000000001010001010010001000000100000000010100000010100000000000000110000110011001100010000010100010001000101100011001100011000000010001000110001010000100010000000100000010100000000000000000001100001101100010001000010001100011000000110000100001000110001100001001100011000000000000000000001100011001000010000000001100001001100010000000000001100010001100011001100011000001000000000000000000000100010001000011001000010000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000001000000000000000000001000000000001100000100000000000001010000000000000000000000000000000000000000000000000000000011001100010000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000100000000000000000000000000010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110100010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000011000000000000000000000000000000110000000000000101101001000011000000001000000000000001000000110000000000000000001001000111100000111100000000000000000000100000000000000001100000000010000000110000000000010000000000010000000101100001110010000010000000000001100000000000000000110000000100100001100011001111100000101000000000010000000000100000000100100001000100000001100000010000000000000000000000110000000100100011110000000111100000001000000000000000000000000000000000000000000000000000000000001100000000000000000000110000000011010111100101100010010101011000000000000000000000000000000100100001100010000000000000110100000000000000000000110000000100100111101001000111101100111000000000000000000000000000000001100000000000000000110010001100000000000000000000000000000001000001110011000001000000000000000000000000000000000000000000100011000001000000000010000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000100001100010000110000000000000000000000001000000110000000011001111111111100011010100100100000000000001000000010000000100100000110000000001100000000100000000000001000000010001100000000000000000000000000000000000100000000001000000110011110011000000110010000001000000000000110000000001000000110001110011110001100001001011000000000000110000000001000000110001110000000001000001001001100000000000110000000001000000110011110001100011101011000011000000001000110000000001000000110001110001000001110001000011001000010000110000000001000000110001110000000100100011000011000000110000110000000001000000110001110011110001100000000110001000000000110000000000000000100000000001100000111011001111000000000000000000000000000000000000000001000000000010000010000000111100000000000000000000100000000000100000011001001001100010000000000000000000000000000000000110000111110111000111100110111100000010000000000000100000000001100001100111101111111010111100000000000000000000000000000111100011001001000001000000101100000000000000000000000000000111100011001001000110000000000000000000000000000000000000000111100011001001000000000000100000000000000000000000100000000111000010100000001011000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111101010001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000110000000000000000100010000000000000100000000000000000000000110000000000000000000100010000100000010000000000000000000000010000000000000000000000000000000000000000000000010000000000100000000000001001000000000001000000000000000000000000000000110000000000000000000000000101000000100000000000010000000000010000000010000000001000000100000000000000000000000000000000110000000000000100000000001000000000100000000000000000000000000000000000000000000000000000000000000100000000000000000000110000000000000000000000000001010000001000000000000000000000000000000000000000000000000000000000010000000000000000000000110000000000000000100000000100000000001000000000000000000000000000000010100000000000000100000010010000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000100100000001000010101000000100000000000000000000100000000000000100000000001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000010010000000000000000000000000000000000000000000000110000000000010000000010000000000000000000000000000000000000010000000000100000001000000000000000000000000000000000000000010000000000010000000010100000000000000000000000000000000000110000000011000000000010100000000000000000000000000000000000010000000000000000000010100000001000000000000000000000000001000000010000110000000000000000000000000000000000000000010000000001011000000000000000000000000000000000000000000000000000000000010000000000000010000000110000000000000000000000010000000000000010000000000100000000000000000000000000000000000000000100000010001010000100010000010000000000000000000000010000000000000000000000000101000110011000000000000000000000000000000100000000100100000000000000000100000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000010000000000000000000000000001000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100101001011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000001000000000100000000000000000000000000000000000000010000000000000000000100000001101100000000000000000010001000000000010000000000000010100000000000000000000000000010000000001000000001000000010000000001100000000000000000000010000000000000000000100001000000000000000000000000000000000010000001010000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000100100001000000000000000001000000000000000000000000000000001000000100100000010000000110000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000100000000010010000000000000000000000000000000000000000000000001000010000000000000010000000000000000000000000000000001010000010000000000100000000000000000000000100000000000001000000000000000000000000000000000000000000000100010000000001100000000001000000000000000000000000000000000100010000000001000001000000000000000000000000000000000000000100010000000001000000000000000000000000000000000000000000000100010000000001000001000100100000000000000000000000000000000100010000000001000000000010000000000000000000000000000000000100010000000101000000000001000100000000000000100000000000000100010000000101000000000000000000010000000000000000000000000000000000000000000000000001001000100000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000010000000100000010001001000000100000000000000000000000000000100000000001000000001001000001000000000000000000000000000000000000000100100000000000000101000000000000000000000000000000000000000100010000010000000000000000000000000000000000000000000000001000100000000000000001000000000000000000000000000000010000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001010000000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000001000100000000000000000000010000000000000000000000000000000000000100000001100000000000000000000000000000000001000000000000000100000010000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000100000100010001000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000100001000000000010000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000010001000001000000000000000001000000000000000000000000000000001000001000000000000000000000100000000000000000000000000000001000000001000001100010001000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000010001100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000100000000000000000000000010000000000000000000001000001000000000010000000000000000000010000000000000000000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000100000000000000000010000000000000000000000001000000000000000110000000000000000010000000000100000011000000000000000000000110000000000000000010000100000000000000001000000000000000000110000000000000000010000000100001000000010100000000000001000110000000000000000010000000000010000001000000000001000000100110000000000000000010000000000000000000000000000000000000000110000000000000000010000000000100000000000000100001000000000110000000000000000000000000000000100001000000000100000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000010000000101000000001100000000100000000000000000000000101100000001000000000000001000000000000000000000000000000000010000000000001000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001000001001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000001000000001000000000000011011100000000110000001111100010000011001100100100000001100011111000100100111100100000100110000011000100000100000001110000000000010000000000100111110010001111111100000100000000000000010010010001111010000001110010001111000101111100000001111011011000110011111111110110001010001111011100011100010000010011011100000001100000000101100000000010001100111000000000000000000000000000000000000000000000001111001100000111011001000000000000000000000100000000000000000000000000000000000000000001010100000000111000000001100010000111001000111100000000001011011000110001111100100001100000000110100000011100000001100011010010100001110001000101100000001110000001111100110010111011011000000000101111010011100000001110000000111100000000011011111000000000010011000111100000000111100100111000000001111000111000000000110000000001100000001100000000111100000001110000000001000001111000000111100000001100001010111010000001111011010000100000011001000111000000011111011000111100010000110011010000110000000001100001100000000000000100000000100000000011010000110001111001110101100000000111001010011100100001111000000001111000011000000100000000001000100000000100000001111001010000000001100111100101100000000110001100001100100001100000010000110101100110100011011001000000011000011100010000111001010001101101011111100100100000001111010100100100000001010000011100010000000000100001100100000000010100000000110000000000000000100000000001110001001100000000100100000000110000000000000000010000000000100001100000000000001100000000110000000000000000010000000000100101100000000000001110000010110000000001011000000000110000000011000000000111000000100100000000010001111000000001110000100001100000000110000100001100000001110011011000010001111001001101100010000110000100111100000000110001001010110000110000100101100000001111000100001100000001110001000000000010111000100101100000000110001100111100010001111000000000010000101100100101100010000110000000101100000001110010001000010000110000000001100010000110011100101100100001110000000011111100110000000001100000000110000100011100100001110000010000000000000000000000000000001111100100111101101000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011110110111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000000000100101000010000100100000000000000010001100000000001000000000000000110100000000000000000000000000000000000000000000000000000010000001100001000000000000000000000000000000001000000010000000000100101010000100100000100000010000001010010010011000100001001100001001100001010100000000010010000000000000000000000000000000000000100000010000000000000000000000000000000000000000000100101100100010000000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000000000000000001000000001000000000001000001000100000100000000000000000000000000000001000000000000000000000000000000010000000000100000000000000000000010000100100000000000000010100001000000010000000000000001000100000100000000000000000000001000011000100000001100000000101000000010101001000000000000000000000000000000000010000001000100000000001000000000001100000000000000010000000000000000000010000100000000000000010100000000000000010000010000001000100000000000101000000001010000000110000000000000000000010000000000010000000000000001010001000010000000000000010000000001010000000100000000000000100100000000000000000000000000000000000000000010000000000000000000000000010000000000000000100100000000010000000000000000100000000000010000010000000000001000000010000100000000010000000010000000010000000000100000001000000000000000000010000001000000000010000001000010000000010010000001010000000000000000000000000010000000000011000000000000000001010000000000000001000000000010000010000000000000010000000001010000000000000001000000000010000000100000000000010000000000010000000000000000000000000000000000010000100000000000000000000000000001000000000000000000000000000000000000010000000000000000000000000001000001000000000000001000000000010001000000000000000000000100010000001000000000000000100000010000011000000000000000000000000001000010000010000000000000000000111001000100010000000000100001000000000000000000000000000000001000000000001000000100000000101000000000001000000000001000000000010000000000000000000000101000000000000000000000010001000000000000000000000000000000000000000000000000100100000100111000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010110001101010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000100000000000001000000001000000000000000000110000000000100001000000000010001000100000000000000000000000000000000001000000000000000000000000000000001000001000110000000000000000000000000000100001000000000000100110001000000000001000000001000010100100000000100010000001000000010100000000000000000000001000100000000000100000000000100000000000000001001000000000000000000000000000000000000000000000001000000000000001001000100000000000000000000000100000000000000000000000000000000000000000000000000010000000001000000000000000001000000000000000000000100010000010010010000000000000000000010001000000000000010001010110100000011100000100100000000010000000000000000000000000100000000000000000100010000000001000000001001000000000000000100000000000000000000001000000000000000100000000000001000000000000000010000000000010000000000100000000001000000010010000000000000001000000000000000000000100000000001101000001000000100100000000000000000100000000001000001000000000000000000010010000000000000000000000000000000000000000000000000000000000100000000010010000000011100000000000000000000000000001000000000000000000000000000001000000001000000100000000000001000001000000000010100000110000100000000001000001000000000000010000000000000001000000110000101000000000001000000000001000000000000000000000010000110000000000001000000000001000000000010000000000000000000000000010000000000000000000000000000000000000000000000000000000000000100000000000010000000000000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000100000000000000000000000001000000000001000000000010000000000000000000010000000000000000000000000001000000000001000000001000000000000000000001000000000001000000100000000010010100000000000000000000000000000000000000001010000000100000000000000100100000001000000000000000000001000001000000000000000000001000000000010001100000001000000000010000000000000000000000100110000000010000000000000000000001000000010000000000000000000010000000010000001000011100000001000000000001000000000000000010000000010000000000000100000110100000000100000000000000000000000000001000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000100000000100000000000000000000010000000000000000000000000000000000000000000100000001000000000010001001000000000001100000000000100000000001000100110100010000000101000000000000000000001000010001100000001000000000000000000000000000000001010000000000000000000000000000000000000000010001000000000000000000000000000000001000000100010000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000010100100000000110010000000000000100000100000010001000110000000001010000000001000000100000000000000000000000000000000000000100100000000100011010000000000100000000000000000000010000000001010000000000000000000010001100000000000000010000010000000010000001000000010000000000000000000000000100000000010000000000000000000010000000000000000000000000001010000000100110000001000000000000000000001010000100000000000010010000000100000001000000001010010010000000000010000000000000000001000100000000000000000000000000000000000101000000000100000000000000000001100000000010001000001010000000001000000010000000000000000000000000000001000000011000000010000000000000000011000010000100000000000001000000100000000010000000001000000000000000000000000000000001100000100100000001000000010000010001000010000001100000100001000000010000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000010000000000000000000000100000000000100000000010000000100010000000100000000000000011000001000001000000010001000001000000000011000000100000000000100000000100010000100100000000110010000000001000000000100000001000101000000000001010000000000000000000101000000000000100000000000000010000000000000100000000000000010000000000000001000001000010000100000100000000100010000001000000000000100000000000000010000000000100001000000000000001100000000000100000000000000010000000000100000000001000000000010000000000000000000000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110111010010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000010010001110100100100100000001111000000110100110100111001101010010001111100100011101101001111000000000100100001111000000001101001111001000111100000000000000000000011111000000000000000100000110001110101100100000000001000100000100001001000100000100001010000000111100100001011001010111011101001111001101000100001010001000111100000000000011000000011110001010001101011110000000011100010001101101111000000000000110100000000000000010000000000000000010010000000000000000000000000000000000000000000111111100111000000000100000000100100100000011000010000010000111000100100100000000111000000001100100001110000010001100001111000000101100100001110000000111100110000101000000000000000110000000111100000010011100100000010010000110000000000000011111011000011100000001111000000111100000001110001001010000001100011000111100010001100010000011110010001011000000001000001111011000111100000000000000000000011011001111000010000010001101100100110000000010111000101111000010000111000110000000000110000000001100000000000001000011000100000011000100000000000110101100100100000001110000100100100110001111000000010010000000100100011000000000001000000000000000001111011110011111001111111100111100000001111000000011110100101010001100000110000010111100001110010000101000000001010110000011011110011110001111111100100100000001111000000101100110101001000110000110000011101110010100000000000000001011000110000011000110000110000000001110101100000000000000100000000110000000000110000110000000001110011100000000000000000000000110000000000110000000000000001110101100000000000000000000000110000000000000011110000010000000101100000001110000000101100000000110000000000000001111000000001100000010111000000111100000000110000000000110000100000001111100000000100000000111100000001110000010000010011111000000001100000001000000100011001101000101000000011000001100001000000110010001100000000001111111000010000000000000000110000000011100000000110000000111100000001001000000011001001000001011011100100000110000000010001001000010000000011111100110000000011100000000110000000000000000000110000100011111100010001000000000000000011011000010011111110010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101011110010111111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000010000000000100000010000000000000110100100000000000000000010000000001000000000100010000000000000010100101000000000000100000000000000010000000000000000000000000000000000000000010000000010000000000000000000000000000000010100000000010000000000000000000000010010100001000000000010000100011000010000010000000000000000010000000000001000000000001000000000000100001000000000010100000000100101000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000010000000000000000000000000100000000000000000100000000000000000000100100000000001100000000000000000000001001010000000001000010000010000001000000000001010000000000000000000000000000110000010000010000000000000000101000000000000010000001001010000000001000000000101000000000010000000000000000010001000000011000000000000010000010000100000000000000001100000001000000010000000000000000000000000100000000000000000010000000000100000000001000000001000000000100001001000000000000001000000001000000000000000000000010000010000000010000000000000000000000000000000011010000000001010001000000000001000000000000000101000000100000000000000000000100000001001001000100000000010100000000100000000001010000000000000000001001010000000010010001001000100000000000001000110100000000001000001100000000010000000000100010000000000100100001000001010001010001000010000000010000000000000001000001010010000001010001010000000010000000000000000000000000000001010000000001010000010000000010001000100000000000000000000001010000000001010000000000000010000000000000000000000000000001010000000000000000001000000000000001000000000000000000000000000000000000000000000001000000000000000000001000000000000010000000000000000001010000000000001000000000000000000001010000000000010000000000000001010000000000000000000000010000000010010001000000000000001000100000000000000000000010000000000000000000000000000000000000000000000001010000000000000000000010000001000000000000000000000000000000010000000000000001000000000000001000000000000000000000000011010000000000000000000000000000101000000000000000000000000000000000010000101000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010010110011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000101000100000110001000000001000000000001000000000000000000100101000100000110000010000000010000000000000000001000000000000000101000000000000000000000000000000000011000000000000000000000000001000000000110000000000100000001000000000100000000000000100100000000000100000000100000000001111000000100000000000000000100000000000000000000000000000000000100001000000000001001100000000010000000000001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000000000000000000000000000000100000000010000001000000000000100000000000000000000000000000001000000001000000100100000000000000000000011000000000000010000000000000000000010000000000001000000000000010000000000100000000000000000000010010001000000000000001000000000110000000000010000000001000000100001000100100000001000000000000101010001000000000000000001000001000000000000000000000000000001000001000000000000000000010010000001000000000000000000100000000010000000000000000000000000000000000000000000100000000000000000000000000000000010001000110110000000000010000000011000000001000000000000100000000000110000000000000000000000000000000001000000100100100101001010001010000000000010000000000001000001001000000100000000000000100010000100000000000000000001000000001001000100101101001000110000000000000010000000100000000000010000000000000000000010000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000101100001000000000000000010011000000000000000010001000000000000000011000001000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000011000000000001000010000000000000000000100000000000000000010000000000000100001000000000000000100000010000000000011000000001000000000000010000000000000000000010000000000000000000000010000000000100000010000000010000000110000000000000000000000000000000000100010000000000000000000010000000000000000000000000000000100101000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110110000110111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000011000000000000000000100100000000000000010001000000011000000000010000001000000000000000000000000000001010000010100110000000000000000000000010000000000000000000100000000000100010000000000000000000000000000000000000000000000100000000100010000000000000000000000000000000000000000000010000000010100110000000000000010000001100010000000000000000000000000010000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000110000000010000110000100000000000000000000000010000000000000010001000101000000000000010000000000001000010001000000000000000100000000100010000000000000001000110001000000000000000000000100000000000100000000000000000000000100000000000000000000000000000000010000000011000000000000000000000100000000000000000000000000001000000100000000000100000000000010000000000000001010000000100110000000000000000000000010001010000010000010000001100000000000000100000000100000000010000000001000000000100000000001000000000000000000000000100001000100000000000000000000010000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000001010000000000000100000100010000010000100010000000010000000000000000000000000000100000010000000000001000000000000000000000000000100000000100000000011000010000000100000000010000000000000000000000000010000000001000000000000000000000000000000000100000000000000000000000000100000000000000000100000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000100010000000000000000010000000000000000000000000100000000000001000000100000000000000100000010000000000000000000001000000100010000100000000000000110000000000000010000000000000000001010000000000000000000000100000000000000000000000000000000010001000010000000000001010000010000000000000000000000100000001000000000000100000000000100000000000000000000000000000000000000000000000100000000000000000000000000000000100000100000000000000000000100000000000000000000000000000000000000100000010000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000101011110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000111100000010000000000000000000000010000000000000011110000000111100000000000011111000000000100000000111000000011110001100111100000100000011011001100110010010001011000000001001000000001110100100010000000010000000011110000000000000000110000000001101111100000000110001000000000000011111000000000000001111001110111100110101001011001011100010010111000000000000001111000000111100100000010000000000000000011111000000011110000000111100110000010000000011000110100000001000000000001100000000000000000001101000000000000000000000000000000000011110000110000000111100100000101000000111000000001111000000000110001100000000111100010011111000100011111111000110000000000100001111000000111010000011111000000110000110001101000000000000000001000100111100010000000000000110100000001110000000000000000111101000111100000000111000000111100000000111000000000000001111100100011110010001111000000111100000001100000000000000000000010100100001000000000000000000000000000101000000000000001101001000111100100000001000000001100000001111000000001111001110101000011100010001111001000000000100100000000000011110001111000000111100000001111001010001101101001100000000011110000000000000100100000001000110001001000000001100000000011110000111111100011101100000100000000101100010001100000000011111001111001110111101001001111001100100101101000000000000001011001010011100000101101001111000000011100100000110000000000110001111011100001101011000000001110000000100000000000000000110000000001110001100110000000100100000000000000000000000000010000000001000001100010000000001110000000000000000000000000110000000001000000100010000000001110000000000000000000000000000001111011000001100000001010000000001000010000100000000000000000011000000001000010001100000000001100000001110000000010010001111001010001000010000010000000101100100001101000000000010001100000000110100000001110000000011000010000110000000000000011111111100100110010000110000000011100000000100000000010010000000000100001100000001000001000101100000001010000000000000000111000101011100000001111000000000000010000000000000000000000100001100101100000000000000100000010110000000000000000000000111100100011000000000000011001011000000011111000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000001000000000010000000000010010000000000000000001000000000000001000000000010000000000001000100100100000000100001000000000000000000010000000000000000000001000000000001000000000000000010000000000000100100000000000000000000000000001010000000000000100000000100000010100010000001000100001000010000000000000000100101000000010010000000000000000000000000010010000000000001000000000010100000100000000101000110000000000000000000000001000000000000000000000000000000000000000000000000000000000001000001000001000000000100100000001000000000100000000000000000000000000001000100000001000000000000010000000101000000000000001000000000000010000001010000001000000010001000000000000000010000000000001010000000000000000100000000000010000000000000001100000000000010000010101000001000000000001100000000000000100000000001000000000100000000001000000000000000000000000000000000000010000000001000000000000000000000100000000000000000001000000001000000000100000000000000000000100000000000001000000000100000100001000100000000000000000000000000000000000001001000000000101100000100000000000001000000000000000000000001000000000000000000000000000001000000000000000010000000000001001000000010000010001000000000000001000000000000000000000000001000010001000100000001000100100000000000000000000000000000000000000010000000000100100000000010010000000000000000001000001000011000010001000000000010000000000000000000000000001010000000010000010001010000000010000000000000000000000000001000000000000000010001000000000010000000000000000000000000001010000000000000000001000000000010000000000000000000000000000000001000000010011000000000000000000001000100000000000000000000100000000000000001000000000000000000000000000000000000000000100010000000001001000000000000000000100000001010000000001000000010000001000000000000000000000100000000000000000000000000001100000010000000000000000000001000000000000100000000000000000000010000001000000000000000000000000000000000000000000000100000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000000000101001000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101101010111001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000001000000000000000000000000000000000000000101100000000110000000000000100000000000000000010001000000000101101000000110000000000000100000000001001000000100000000000000000000000000000000000000000000000000000100000000000001100000000000000000001000000000000001000000000000000010000000000000001001000000000100000000100000000010000000010000000001100000001000000000000100000000000000000000000000000010000000000101100000000110001000000000000000000000000000000100000000000000000000000000000000000100000000000000000000000000000000001000110000000000000000000000000000000100000000000010000000010000001000000000100100000001000000001010011000000000000000010000001000000000100001000001000000000100000000011000000000000000000000000000000000000000000000000100000000000011000000000000000000011000000000000000000000000000000000000000000000000000001000010001000001000001000000000000000000010010000000000000000000000000000000000000000000000000000000010000000000000000001000000000000100000000000000000000100000001001000000000000101000010000010100000000010100000000000000000000000000000101101000000000100000000000010000000000000000110100000000000101100000000000001000000001000010000000000000000010000000000101010000000111000000000010000000000100000000000100000000000101000010000000001000000010010000000000000000000000000000000000001000000000000010000001000000000000010000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000001000000000000000000001001000000000000000010000000000000000000000000001000000000010010000001000000000010010000000000100000010000000000000000000001000000100110000000010000000000000000010000000100000000000101000000000000000000001000000000000001000000110001001000000000000001010000000000000000000001000000000000000010000000001000000000110000000001001000000000000010000000000000000000001000000000000000000000000000000000000010000000000110100000000000000000000000100000000000000000000010000000111000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010110001010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000010000000000000000000000000000010000000000000000000000000000010000000000000000000001000000000000000000000000000001000000010001000000000000000000000000010000000000000000000000000000000100010100000000000000000001100000000000000000100000000011000000000000110000000000000000000000000000000000000100000000000100010000000000000010000000000000000000000000000000000000000000011000010000000000000000000000000000000000000000000000010000000000000000000000000010000000000000000001000000000000000000000000000000000000000000000000000000000000010000000000000110011000000000000000010000000100010000000000100001000000000000000010001000000100000000010000000000000000000001100000000000100000000000000000000000010000000000000000000000000001000100010100000000000000001000000000000000000000000010000000000100110000000000000000110010000000100000000000000001010001001000000100001010000000110010000000000000000000000000000100000000010000000000000000000000000000000000000000000001000010000100011000000000000001000000000100000000000000000001010000000000000000100010000000000000000000000000000000000001100000000000000000100010000000100000000000000000000000000000000000000001000000000000000000000000000000000000000001000000100000010001001000000000000001000000010001000000000001000001010000000010000000000010000001000011000000000000000000010010000000110001000000000010000000000100000110000000000001000001000010000010000000000000000000000001000000000000000000000000000000000010000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000010000000000000000000000000000000000000000000000000000010000000000000000000000000000001000000010000000000000100000010000000000000000000000000000000000000000000000000000000000000000001000000000001000000000000100100100000000000000000000000000010100000100100100000000000000000000000000000000100000000000000000000000000000000010000100000000000000000000000000010001001000000000001010000000000000100000000000000000000000000011000000000000000000000100000001100000000000000000000000010000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111011101110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101100001000000000111001101101000000100000010000111111110111011100001000001010110111001100110000100000010000110011110111011100001000000000000000000000000000000000000000000000000011001100001000000001010011111110100000100000000000000011111111111100001000000011101010111111110000100000010000110000100101111100001000001000011101111100110000100000000000000000001110111000001000000000000000000000000000000000010000111100111100111100001000000000000000000000000000000000000000000000000000000000000000000000001111111111110000100000000100101010100010010000001000001011101010001000000000100000010000001000101110110000001000000001101110101100110000100000000100011111011011111100001000000000000000111111100000100000010000111111111111111100001000000000110011000011110000100000010000011100001000100000001000000010001010000000000000000000010000000011111010111100001000000000000000000000000000000000000000000000001111111100001000000011111000011111110000100000010000001110110011001100001000000011111111111100000000100000010000000011111111000000001000001011111100111100000000100000010000000000001100001100001000001000000000000000000010000000000100111101000000000000001000000010001000000000000010000000000100110011000000000000100000000010100000000011110010000000000100010011001111000000100000000000001100000000000010000000000100000000000101010100100000001000100010111100000010000000000100000011111111000000100000000000000000111100000010000000000100000011111111000000100000000000000000111100000010000000000100000011111111000000100000001000000000111100000010000000000100000011110000000000100000001011111111001111000000100000010000000101111010111000100000000010011001101001010000100000010000100101101001011000001000000001010101111100000000100000010000010001111111000000100000001011101011101110110000100000010000110011100001000100001000000010011001110111110000100000010000110011001101100000100000000000000000000000000000100000010001111100111100111110001000000011001111000000001000100000010001101101011010110110001000001000000000000000001000100000010001101101011010110110001000000000011011000000001000100000010000101110111111101000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110110000110110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101100001000000011010111000010000000100000010000110011000111011100001000000010110111111111110000100000010000110111111111111100001000000000000000000000000000000000000000000000000011001100001000000001010011000010100000100000010000000011111110111100001000000011111010101111110000100000010000110111000111011100001000000000011101110000000000100000000000000000001010000000001000000000000000000000000000000000010000111111111100111100001000000000000000000000000000000000000000000000000000000000000000000011110010111101000000100000010000110010110010010000001000000011110100101100100000100000010000101010111100110000001000000001110110100000100000100000000000001111000011111100001000000000000000111111100000100000010000011101111111111000001000000011000000000111110000100000010000111100011110111000001000000000001000000000000000000000010000111111111010111100001000000000000000000000000000000000000000000000000101010100001000000011110100001100110000100000010000001100110011111100001000000010111111111100000000100000010000000011111111000000001000000011111100111100000000100000010000100000001100001100001000000000000000000000000010100000010100101100000000000000001000000010001111111111000010100000010100000011001111110000101000000010110011000011000010100000010100010011001111000000101000000010101110111011100010100000010100011101110100010000101000000011110010111100000010100000010100000011111111000000101000000000000000111100000010100000010100000011111111000000101000000000000000111100000010100000010100000011111111000000101000000000000000111100000010100000010100000011110000000000101000000001011111011010010000100000010000100000011010100000101000000010011001101001010000100000010000011010010110100100001000000010101010000011110000100000010000101110001111000000101000000010111110111110100000100000010000110011001100000000001000000010011001101010100000100000010000111011101000110100101000000000000000000000000000100000010001001111000011110010001000000000000011000000001000100000010001101101011010110110001000000000000000000000001000100000010001101101011010110110001000000010110001000000001000100000010000100010001110111000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110011111010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011101111001100110001000000001000000001111111111100010000000001000011110011110001000000001000001100001101110100010000000000000000000000000000000000000000000000001010111000010000000000000101000011110001000000000000000000001111111100010000000001111111111101110001000000001000110011111111010100010000000000011101101110110001000000000000000000000000101000010000000000000000000000000000000000001000111111111111111100010000000000000000000000000000000000000000000000000000000000000000000000000000111111110001000000000000111111111010010100010000000011011101111100110001000000001000111110100011111100010000000011111111110011110001000000001000101111001001011000010000000000000000000000000001000000001000101010111010101000010000000000110011111111110001000000001000111110101100110000010000000001010000000000000000000000001000011100011000111000010000000000000000000000000000000000000000000000001111111100010000000010101111001111110001000000001000111011100001001100010000000000111111111100000001000000001000000011111111000000010000001010000000111100000001000000001000001100111111111000010000000000000000010100000001000000001000000000001100110100010000000011001110011100110001000000001000111011110000110000010000000000000000010001000001000000001000101011101111000000010000001010001111011101010001000000001000101011100011001000010000000000000000111100000001000000001000000011111111000000010000000000000000000000000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000000000000000000100010001000000001000010011011111000000010000000000000000000011000001000000001000111100001111100100010000000011110110001111110001000000001000100101101100001100010000000010010110001100110001000000001000011010011111111100010000000011110000100101100001000000001000111011100101000000010000000000000000111111110001000000001001001111000011110010010000000000011101111111111001000000001001111111111010110110010000000000000000111111111001000000001001101101011010110110010000000000000000111111111001000000001000000000001111101000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010101101110111111111111111111111111111111111111111111111111 +00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000010100001110000000000000000001001000011001100000000000000111111110111110000000000000000110000111111110100000000001000000000000000000000000000000000000000001010111000000000000011110101111111110000000000000000000000001111110100000000000011111111111111110000000000000000110011111111010100000000000000011101101110110000000000000000000000001010101000000000000000000000000000000000000000000000101110111101111100000000000000000000000000000000000000000000000000000000000000000000000000000000111110110000000000000000111100001111111100000000000011111111111100110000000000000000111110100011011100000000000011111010110111110000000000000000111100001111111100000000000000000000000000000000000000000000101010100101000000000000000011101100101000000000000000000000111111001111110100000000000011110101000000000000000000000000111111110000110000000000000000000000000000000000000000000000000000000111111100000000000010101011001011110000000000000000111011110001111100000000000000111111111100000000000000000000000011111111000000000000000000000000111100000000000000000000111111111111111100000000000000000000010101010000000000000000000000000000010100000000000000001010010100000000000000000000111011110000111100000000000000000000010101010000000000000000000011001111000000000000000010001000001100000000000000000000000011000011001000000000000000000000111100000000000000000000000011111111000000000000000000000000000000000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000000000000000100010000000000000000000000001001111111100000000001000000000011001010000000000000000000011110110000000000000000011111001000011000000000000000000011010010011110000000000000001101001110011000000000000000000100101101101110100000000000000001111011010010000000000000000000011101111101000000000000000000000010111110000000000000001111100111100111110000000000000011101111111111000000000000001111111111010110110000000000000000000111111111000000000000001101101011010110110000000000000000000111111111000000000000000000000001110111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001110101100110111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001111000110000000000000000000000000010000000010000000000000000000000000000000110000000000000000110000000110000000000000001100010111100000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000110000000000000000000000110111100110001100100000000000000000100000000000000000000000000110000000000000000000000000000000000000000000000000000000110001000000110000000010000110000000000000000000000001100011111111001010111100000110000000110000110110000110001101100010011011010110011000100000011111011000000000000000010001100000000110000000000000110000000000000000000000000000000000000000000000000110110000000000000000000000000000000000110000000000110111111110111100000000000110000000000001101000011100000000000000000000111100110000000110000000000000000110000000000000110110000000001100110000000110000000000000000000000000000000110111100000110000000000000000001100000000001110011000000011000111111110111100100001000000000000000000001110010000000000000001000010110001100011000000000000110000000110000001100011000101111100111100000001111111011011111011011111101001111011100011111110110001110011001100011001110011011100011001111011110110111111111011100011001110011111110010001110011101111000100001001010001000000000001110111111110010001100001000000000100011001110001011110110000000000001000111011000011001111011100110111110011001100011001100010101110011011100001100001011100111111000111011010011001100011001100010001000011100000011110111111110111100000000000000000011110000001000111000000000000000000000000000000000010001000000010000000010000000000000110000010000000000000001100000000000000001100110000000000010011011111010100000000000000000010011111011011101011000000010001000100000001100000000000110000011101010011101000000000000000000011000110000000000000000000000110000011111001100000000110000100000001100110000000000000000110000000110000000000000000000000000111100000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110110001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000011000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001000000000000000000000000000000000010100000000001100000000000000000001000000000000000000100000000000000001000000000000000000000000000000000000000001000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000011000000000000000000000000000000100100101100000000000000000001000010000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000001000000000000000000000000000000100000000000000000000000001000000101000000110000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100111100101100001100000000000000000000000000000001011011000000101000000000000000000000000000000000000010000000001011101001010100001001100000010000100111100000010000010000011010000100000100100000000000000000001111100000010100100000000010000100010100000001000000000000000000100100001000001000001000110001101000000000000000010000000100000101001000100100100001000000101000000001000000000000000010100001000001000000000001000000101000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100100000000000000100110000000000000000000011000010000000000000000000000000000000010000000000000000001000000000010000000000010000000010000000010100000000000000000000001000000000000000000000000010000000010100100100000000000010000001000000000000000000000010000000010000000000000000000000000000011000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001111101000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000100000000000000000000000000000000000000000000000000000000000000000000100000000000000000100000000100000000000100001000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000010000001001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000100000000000000100000000001000000000000000000000000010100000100101000100001000000010000000010001000000101000000010001000100000001000000000000000000111000000000000000000000000010000000001000000000001000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000100000001000001001000001000000000001000000000000000000000000000000000000000000000010010000000001000000000000000001000000000000010000010000000000010000000001000000000000000000000000000000010001001000000000100000000000000000000000000001000000000000000000000110000000100000000000000000000000000001000000000000000000100000100001000000100000000000001000000001000000000001000000000100000000100000000000111000000111000000110000100001000000000010000000101000000000000000001000000000000000000001000100010000100010000000000010000000010000000010000000000001000000000001000000000000000010000000110000000000000000000000000000000000100100010000010000000000000000000100000000010001000000001010000000000000000000000000010000000000000000000000000000100100000000100100000000000000000000000000000000000000010000100110000100100000000000000000010000000000000100100000000000000000000000000000000000000000000100000000100000000000010000000000000000000000000000000000000000000010000000000000000100000000100010000000000000000000000111000000001000000000000000000000000100000000000000100000000001000000000000000000000000000000000010000000000000000000000100000000100000000000010000000000000100010000000000000000000100000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101111011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000010000000000100000000000000000000000000000010000000000000000010000000010000000000000000000000001100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001010000001000100000000000000000100000000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000100000000010000000000000000000000000000000000000000100001010000000010000000000000100010000100100000000000000001110000011000100000000010100000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000100011001100110000000000010000000000000100000000000000000000000000000000011000000000010000000000000000010000000000000000100010000000000000100000010000000000000000000000000000000000100001000000010000000000000000100000000000011000011000000001000011001100011000000000000000000000000000011000010000000000000000000000010010001000100000000000100000000100000000100000000000000000000000000000000010100000010100000010100000000001000011001100010011000011001100011011000011001100011000110000000000000000001000100001101000010000000010001000011001000000000000000000000000000000011000100000000000100000000000000000000010000000000001100010000000000000000010001000100001000000100000001100011001100011001000010001100000001100000000000000101000100001001101000011001100011000000000000001100100000010001000110001000100000000000000000001100000000001000100000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000001001000000000000000000000010000010100000010100000000000000000000000000000000000000000000000010000000000000000000000000000001000000100000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001000000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000001111110001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000001110000110000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001111111000111111000111100000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000010000010001110111000000000000000000000010000000100000001000111000001110101011100000000000001000000010010110101100000000010000000010100011000000000000000000000000000000000000011000011000000000000110000000000000000000000000000000000000000000000000000100000000000000000000000000000100000000000000000100011001111000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100001000000000000011010000000000000000000000000100000000000000000000000000011000000000000000000000000000000100000000000000000000000000011000000000000000000000001000000010011110101100000110001000011100000000000100000000001000000110001110000000001110000001011100000000000110000000001000000110011110000000001100001000011000000110100110000000001000000110001110000000000010010000011010001100100110000000001000000110001110011000011110110000111100000001001111000000001000000110001001000000000110110001011000000010001111000000001000000110001010000100000110110000011001100010101010000000001000000110011110010000000000110000111111100001001111000000000000000100000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000111001000001111000000000000000000000000000110000000000000011100000000111100000001000000000000000000000110000000000100011110000000001110001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000100000000110000110001100000000000000000000000000000111100000000000000000000000001100000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110101100000101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110000111000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100010000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001010000100000010001100000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000101000110000000000000100000000000000000000100010000000010000000000000000001000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000010000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000010000000000000000000000000010100000000000000000000000000000010000000000000000000000000010100000000000000000000000000000000000010000000010000000001001000000000000000000000000000000000000110000000010100000000101000000000000000000000000000000000000010000000000010000000010100000001100000000000000000000000000110000000100000000100010100001000000000000000000000000110010100100010010001000001000000000100000100000000000000000110000001000000010001000100001000000001001110000000000000000100001000000000010001001000010100001001000000000000000000000110010000100000000001000010000001100000000100000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000110000000000000000000000000110000000000000000000000000110100000000000000000000000000000110000000001001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000000110000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000111001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000011100000001000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010010000001000000000000000100000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001110000000010000000000000000000000000000000000100010000000001000000000000000000000000000000000000000000000100010000000001000000100000000000000000000000100000000000000100010000000001000000000000000000000000000000100000000000000100000000000001000000000100000010010000000000000010000000000000000000001000000000000000000000000000000000000010000000000100010000000001000000000000000000000000000000000100000000000100000000000001000000000000000010001000000000010010000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000000000000000000000000000000000000000000000010000000000001000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100011011000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100011000011000000000000000000000000000000000000000000001000001010000001100000000000010000000000000000000000000000000000000000100010000000000000000000000000000000000000000010000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000001000000010000000000000100000000000000000010000000000000100000000000000000000000000110000000000000000010000000000000000001000000000000000000000110000000000000000010000000000000000000000000000000000000000110000000000000000000000000000000010100000010001000000000000110000000010000000000000000000000000100000000000000000000000000000000000000000000000000000100001000000000000001100000000010000000000000000000000000000000000000000000101000100001001000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100001000001000000000000000000000000000000000000000000000000011000000000000000000001000000000000000000000000000000000000010100000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110110001000100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011000000010111000000010000000001011000000101100000000110000000000000000000000000000000000000000000000000000000000000000011000000000011000000001000000001110000100111110010000111000000000000001111000000000010010000000000000100100000001111000000000000000000001000100100000000000000000000000000000001000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000010000000011011000000000101000000011100000001011000000001100000001111000000000000000000000000111101100000011000000011000000000000001011001110001111001000011001100000010011110110101001001110001000000010010111000100101100010011111001100011011001000010001010000100000110101100001110110001111011000110100010001100011011000010000111000100011000000001111101110101100000001100000000000000001111000000111100000001100000000111100000001111000000010010000110011000000000000000011000000111100100001111000000001001000000000000000001101000000011000000001000000000011011000000001110010000111100000000111000000101100100011111000000000000000000100100000000100000000011010000000000000000000000000000000000011000000000000000000000000000000000000000011011000110101110000000111000000000110000000001111111000011001010100000001110111100110100000000011001100100000110100100000000000110000000001101111100000000000001100000010110000000000000000110000000001100111001110000000001100000000110000000000000000010000000000000011100010001011011100111100010001100011000000000000101011100011100010011111111111111110010001110000111000010000000001100001110100000000001100011100100001011000000000110000000001110111000000000000000000000001101100001000000000000000000000000000000000000011000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000111100010000110000000011100000001100011011000000011111000000111100000001110001100111100110001111011011000000000111000000010100000000000001100111100110101111000000000000000000000000000000000000000000000000000000000000000000001001000110000000001100000000110000000101100000001110000000000000000110000000001100000000110001010101100000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000010110001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000100000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000001000000000010000100000000000000000100000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000100000100100000001000000000001000000000000000000010000000000000000000000000000000010001100000000001000000000000000000000000001001000000001000000001000000011000000010000000000000000000000001000000000000001000001000000100001010000000000000000000000000000000000000000010010000101000110000000000000000000000000001001000000000010000100010000000000000000000100000000000000100000000000000010000000000000000101000000100000000000000000000000001000000000000001001000000000010000010000000000000000000000000000000000000000000000010000000001000000010000000000000010001000100000000010100000000000000010100010000000000000000000000000000000000000000001000000000000000000000000000000000000001000000000000000000000000000000000000000000000000100000001000000101000000000000000000000001010001000000000000000000000001000100000000100000100100000000100000000000000001000000000110000100000000000000010000000000010000000000000001010000000110000100001001000000010000000001010000000000000000000000000000001000100000100000001001000000000000000000000000000001000000011000000000100010011001000001000000000000000000000000000010000010000010000000010000000010000100000000000001010000000010001001000000000000000000000000000100000000000000000000000000000000000000001001000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000000101000000010010000000000000000000000100000000001000000000000010100100000010000100000000000000000100001000000100000000000000100100001010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000000000000000101000000000000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111100010110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000010000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000001000010000000000000000001000000000000000100000000000000100000000001000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000100000001000000000000100000001001000000000000000000000000000000000000000000000000000000000000010000000001001000000000000000000000001000000110000000001000000000000000000000000001001000000000100000000000001000000000000000000000100000000110000000100000101000000000000010001000000100000000010000000000000000000001000010000000000000000010000000000000001000000000000000000000010000000100000000001000000000001000010000000000000000000000000000000001000000100011000000000000000000000000000000000000000000000000000000000000000100000000001000000000110100000000001000001000000000001000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000010000000100000000010000000001000001000000001010000000000011000000110001000000000000000000000000000000000000000000000100000000000100000000000000001000000001000000000000000000000000000000001100000000000000100000000000000000000000000000000000000000001000000000000011000000000000000001000000010000000000000000000000000000000100010000000001000001000000000000000000000100000000000100000000100000000000000100010000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100100001000000000000000000000001000000100000000001000000001100000000001000000000000100010001001000100000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000010000000000000000000001000000000000000000000000000010000000010000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011100110000110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000010000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000001000000100110010010000010000000000000001010000000000000100000000000000001000000001010000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000000001000000000000100000000001000000000001000000000000000000000000100111000010000000000010000000000000000000001000001010000010010001000000000000000000000000011000000000100100100000001001000000000100000010000000000000010000001000100000010001000011010000100100000000000000010000001000000100000100000000001000000100000000010100000110010000000000000000000000001010000000100110000100000000000001000000001010000000000100000100010000000000000000000000001000100000100000000000000000000000000000000001000000000010000000000000000000001000100000010000000000000000000000000000000100010000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000100000000100000000000000000000000100000001000000000000000000000000000010000010000001000000000010000000000010000100000000000000000000000000001000000000000000000000000000100000000000000000000000000000000000001000000000000000000000000000000000000000010000000000000000000010000000011000100110100001000000100000000000001000110001100010000000000000100010000011000001000000010000000000000010000000000000000000001100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000001000000000000000000000000100000001000000100000000000001000000001000000010000000001000010001100000000100000000100000000001000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000100000000000010000000000100000000100010000001000000000000000000000000000010000000000100000000100010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111010100100001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000011000000000000000000110000100000100000000000000000000000000000011000000000100000000000000000000001110000000110100000001110000000011100000001000000010000010000000000100000000000000000000100000000000001111000000001000000000100101011000000010011000000111101101000000000000000000000000011000000000000000000000000000000000000000000010000000000000001000000000000000000000000000000000000000000000000000001111000000011100000001011000000101000010000111000000000010001111000000111100000000000000000000000000000000000000000000000101111100111100000000111000000000000000001111000000001101001111100100111100000000110100100011100000001101010110000000000110111100011000100001100001000111100000001111001101001111100000000000101100000011111000000000000100000110001100001101101111000000111100000000000000000000001101001111001101011110000000011000100101010001100000000000001101000110000000000100000000000000000000000000000000000000000000000000000110000000000111000000111100100001111001110101000110011111000010000110000000000000000000010000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000001111111100000100000001001000000000001001001111011110011110001011111100110101100000010000000110000110101111000110001111000000001110111110110000000001100000001011000000000110010110000111011100111001110000000000000100100110000011000110010110000000000000100000000001100000000111100110000000010110011110001101001110101100000001111000000011100110001100000010010110000000111100011100010001111100100010000110000000000100010110000000111100011001000000011000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000101100000000010000000000000000001111000000011110000000111100011100000001111000000111100110100000000000000000000110111100011000000001110000000111100110100000000000000000000000000000000000000000000000000000000000000000000000001101100110000000111100000000110000000000000000000110000100000100000110000000111100000000110010000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111010011101100111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010001000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000010000001000100000000001000001001000000000000000000000000000000000000000000000000000000000000000000100000000000000001000000000100100000000100000000000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000001001000000001001000010000000000000000100000000000000010000000000000000000000000000000000000000000100000000010000010000100000000000000000000001000000000000000010000000100000110000000000010000010010000100000000000000000000101000010000010010000010000000011010000001010000000100000000000000000010000000001000000000000000000000000000001000000100000000000000010000000000000000000000000100000000000100001000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010010001000000000001000010100010000000001010000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000001000000010010000000010000000000000000000001000000001000001010000000010100100001000000000000000010100100000000010001000000000000000000010000000000000000000000000000000001010000010010000010011000001001000000000000000001010010000001000000000000000000000000000000000000000000000010000000000000000000001100000010000000000000001000000000010010000000010001000000110000000000010000011000100011000100100001000000000000010000000000000000010000010001100001000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000000000001000000000000000100000000000000001000000000011001000000010000000000000010100000000000000000000000000000010100000000000100000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000101000000000000000000000000100000000000000000010000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001011001000001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000100000000000000000000000000000000000000000100000000000000000000100000000001000000001000000000010000000000010000001000001000000000010000000000000000000010000000000001000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000010000000000000000001000000000000000000010000000000000001001000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000010000000010000001001010000100000000010001010000000000000010010000101100000000000000110000000000001000000000000000000000010000000000000000000000000001100000001000000000000000000110000000000000000001000000000000000000000000000000000000000001000000000000101100000001000000000010000100000000000000000010000000000010000000000000000000000000000000000000000000000000000010000000000010000000001000000000010010000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000110000000000000100000000000000000000011000101100101101001000110001000000000000000000000000000011000000000000000000000000000110101000000000100000000000000000000000000000100000001000000000000000000000000000010000000000000010000010101000000000000100000000001000000000000000010000000010101000101101000000001000000000001001000000000100000000100000000001000000000000110000000000000100010000000000000000000000000000101100000000110000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000001000000000000000000000000000001000000000000101100000000110000000000000101000000000000000000000000000000000010000000110000100000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000010000000000000000000000000000000100000110000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111001101001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000010000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000100010000001000100000000000000000000010000000100000000000000001010000000100110000000000000000000000000000000000000000000000001000010001110000000011000000000000000001010000000000000001000000000000100000000000000000000100000000000000000000000000000000010000100000000000000010000010000010000000000000100000000000000000000000001000000000000000000000100001000000000001010000000100110000000000000000000001000001010000000000000000000000000100010000000001000000000000001000100000000000000000000000000000000000000000000000000000000000000000010000000000100000000001010000000100100000000000010000000000100000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000000000000100000000000000000000000000010000001000010000000000000010000000100000010000001000000000100000001100000000000100000000010000000000000000100100000010000010011000000000000000000010000000100000000000000000000000000000000000100000000000000100001000000000000000000001000000001000010000001000000000000001010000000000000000000000000000010001100000000000000000000001000000000000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000000000000000000000000001010000000000000000000000011000000000100000000000000100000000000000000000000000100000010000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000010000000100000000000000000000000000000000000000100000001010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110001101000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000011000000000011000001011000000000100000000000000000000000000000000010000000000000000000000000000000000000010001100000001111100000000011000000111100000001111000000000000000000000000100100000000000000000000000000001100000000000000001100000000111100000001100000001111100000001111000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011000000000000000000000000001101000111000000101100000000010000100111100100000110000000000010000000011000011010000000000000000000000000000011000000000000001111000000100101110001110000001111010110001111000000000000001111101000001100000001111000000111100010001100000000010010000011011110111110010001111000100001101101111110000000000000000011000000111100000000011000000111101111001000000000000000000000000000111100000000000100000000001000000100000000000000000000111100111100000000000000100110100000001010000000001100000000000000000000010000000000000000000000000000000000000000001111000000111100000001100001010110000100001011000000001101000000001100001000000000000000000000010110000000000000000000000000001110011000010000000000000000001101000000000000011110001011000000111100110001111001100001000000000110000000011110001111111100101000110101000000000000100000001110000000001111000000001100001100010000000001110000000100000000000000001010001111001100101100010001111001110000000100000000000000001101000100001100011100100000100000000011000010001110000000000110001111111100011000000001111001100111100110001111000000011110001111001110101100000000000001111011101000000000000000010010000000111100011000000000000001110011000000000000000000000000000000111100011000000000000000000000000000000000000000000000000000000000000001101000000010000000000000000000000000000000000000000100001100010000000000000001000010000110000000011110000011011100011100110010011000000111100010001100000000011110001100000100100100110100011000000111100000001111000000010010000000100100000000000000000000000000000000000000000000000000000000000000110100000000000000000101100000000110000000000100000100000000101100000000000001000000000100100011000000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101100111100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000010001000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000100000000001000000000010010000010101000000000000000000000000010000000000000000000000000000000010000000000000000000000000000010000000010000001000000000100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000010001100000000001000000000000010001001000000000001000000000000000000001000100000000000000000000000000000001000000000000000100000000000010000001000000000000100000000001010000000000000100000100000010000000100100000000100101000000000000000000000100000001001011001000010101010000010000000000100000000000000010001000000000010000010000000000100000010000000000000000000000000000000000010000000000000000000000001000000000000000000000000000011001000000000000000000010010000000001000000000001000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000000100000010100000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000001001000000001000000000100001100100001000000000000000000000001001011000010000000100000000000000000000000000010000000001010000000010000001001000000000010000000000000000000000000001001001001000000000001000100100010000000000010000000000000000010000000000000000010000000000000000000010000000010000000000000100000001000000010000100000000000100000010100000000000000001001001010000000000000000000010000110000001000000000000000000000000000010001010000000000010000001010000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000011000000000000000000001000000000000000000000001010000000010101000000100000000000000010000000000000000000001000100000000010000100100001000001001000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000111010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000100000000000010000000000000000000000000001000000000000000000000000000000100000000000000011000000000000000000000010000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000011010000000000000000000000000000000000000101000000000000000000000000000001000000000000001000000000100000001000100000000000000110100100000000000000000100010001000000000000010000000100100000001000000000000101000000000010000001000001000000000000000000000010000000000000000000000000000000000000000000000001000000000100000000000000000000000000000100000000000010000000000000000000000000000000000000000110001000000000000000000000000000001000000000000000000000000000000000001000000000000000000000000000000000000000001000000000001000000001000000000001000000000100000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000100000000000000101100101000000011000001110010000000000000000000001000000000101100010000111001000000001000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000001000100000110100000000010000000000000000000000000000000000000000001000010000000100000000000000000000000101000000000000000010100110000000000001000001010000100010001000000000000101101000000000001100000000000000000000000000000000000000000101000000000110000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000010000000001000000000000000000001000001000000000101100001000010000010000000000000000000100001001000000000000101100010000000100000000000000000000110000000010010000000000100000000010000000000000000000000000000000000000000000000000000000000000000001000000000000000000001100000000000000000000000010000000001100000000000000000000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111000111101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000100000000000000000000000000000000000010000000000000000000000000000000000000000000000000000001010000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000000000000000100010000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000100000000100010000000000000000000000000100000000000000100000000010000000000000000000000000000000000000000000000000000001010000000000001000100100000000000010000000100000000000000100010000000000000000000010000000000000000001000000000000000000010000000000010000000000000000010000000000000000000000000000000000000100110000010000000000001100100000000000000000000000000000000100010000000000000000000000000100000000000000000000000000010001000000000000100000000010000000000000000001000000000000000000000000000000000000000000000000000000000000000000011000000001100000001000000000000000000000010000000000000000000000010000100000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000100000000000000000000000000010000000000000000000000001000000000000000000000000000000000011000000000000000000000000001000000000000000000000001000000100000000000000010000000000000000000000000000000000000001001000000100000100000000000000100100000000000000001010100010000000000100000001010000001000010000100010000000000000001000000001000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000010001000010000000000001000010000100000000000000000000000001000000000000000000000000000000000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000001000000000000000000000001000000010000000000000000000000000001000000000000000000010000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000001010111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111010100000100000010000011101110000000000001000000000000000000000000000000000000000000000000000000000000000000000000000101010100000100000010000110010101111111100001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000100000010000111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111011111110000100000010000000000001111011100001000000000000000000000000000000000000000000000000010101000001000000011110000111110100000100000000100100011001111111100001000001011001111111111110000100000010000101010101010101000001000000011111111001100110000100000010000111111110000010100001000000000000000111100000000100000010000111011000000000000001000000000000000000000000000000000000000000000001111101000001000001000000000000000000000000000010000111111111111100000000000000000000000000000000000000000000000000000000000000000000000000011111111111101010000100000010000100011001011101100001000000000000000000000000000000000000000000000001111000000001000001000000000000000000000000000000000000000001111000000001000001000000000000100010010000000000100111100000001111100001000000001010000000000000010000000000100000000000011001100100000000000000000111100000010000000000100000011111111000000100000001001011111111100000010000000000100000011111111000000100000001000000000111100000010000000000000000000001111101000000000000011111111111111000000000000000000111111111111110000000000000000000000111100000010000000000000001111111111000000100000001000000000111100000010000000000000111111110000111100000000001000000000000000000000000000000000000000000101001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000111010001001011000001000001000000000100101100000100000000100010101011010110000100000000000000000010110100000100000000100001010101001100100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001111100111100111110001000000000000000000000001000100000010001001111111100111110001000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111001010110110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000100000010000010000100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000111111110000100000010000001101011111111000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000100000010000001100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011111111110000100000010000000000001111111100001000000000000000000000000000000000000000000000001010101100001000000011111010111110100000100000010000101011011010101000001000000011001100010111110000100000010000111111111110101000001000000000000010001110110000100000010000101011110011010100001000000000000000111101000000100000010000111011100000000000001000000000000000000000000000000000000000000000001111101000001000000000000000000000000000000000010000010101011000100000001000000000000000000000000000000000000000000000000000000000000000000010011001111110100000100000010000000100000111011100001000000000000000000000000000000000000000000000001111000000001000000000000000000000000000000000000000000000001111000000001000000000000000111100010010100000010100001100000001000100001000000011011100111110100010100000010100001111110011000000101000000000000000111100000010100000010100000011111111000000101000000001011111111100000010100000010100000011111111000000101000000000000000111100000010100000010100000000001110101100101000000000001111111110010010100000010100011111111110110100101000000000110011111100000010100000010100101101111111000000101000000000000000111100000010100000010100100001110000111100101000000000000000000000000000000000000000000000000101001100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000101100100110100100001000000000000000011010010000100000010100110000111010001100101000000000000000101001010000100000010100000000000110011000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001001111000011110010001000000000000000000000001000100000010001001111110011110010001000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000011101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000100110011101001100010000000000000000000000000000000000000000000000000000000000000000000000110111110000110001000000001000010111110000000000010000000000000000000000000000000000000000000000001111010100010000000000000000001111110001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111110001000000001000100100011111001100010000000000000000000000000000000000000000000000000000000000010000000001011111111110100001000000000000001100111111111100010000000011001110111110110001000000001000010000011111111100010000000010101000100010000001000000001000011110111101111100010000000000000000000000000001000000001000111101011100110000010000000000000000000000000000000000000000000000001100110100010000000000000000000000000000000000001000000010001010111100010000000000000000000000000000000000000000000000000000000000000000000010111111110111010001000000001000011100110000111100010000000000000000000000000000000000000000000000000000000000010000001000000000000000000000000000000000000000000000000000010000000000000000000011000001000000001000001010100001000100010000000011011100001110110001000000001000010100001111101000010000000000000000111100000001000000001000000011111111000000010000001011110000111100000001000000001000000011111111000000010000000000000000111111000001000000001000100111111110110100010000000001111111111111100001000000001000100101011010100100010000000000000000111100000001000000001000011111111111000000010000001000000000111100000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000110100100010000000000000000101001010001000000001000001111001100001100010000000000000000100111110001000000001000110101111110101100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001111000011110010010000000000000000001111111001000000001001001111111111110010010000000000000000111111111001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001101110111100111111111111111111111111111111111111111111111111 +00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110011001010000000000001000000000000000000000000000000000000000000000000000000000000001110111001111000000000000000000010111110000000000000000000000000000000000000000000000000000000000001111111100000000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001111110000000000000000000100011111011000000000001000000000000000000000000000000000000000000000000000000000000011101111111111100000000000000000111111111110101000000000000011001100111111110000000000000000000100010011001100000000000011111111111111110000000000000000011110111111111100000000000000000000000000000000000000000000111111111111111100000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000110011101111111100000000000000000000000000000000000000000000000000000000000000000000000011111111110111010000000000000000101111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000000000001010100001111100000000000001010000000010100000000000000000111100000000000000000000000000000000111100000000000000000000000011111111000000000000000011111111111100000000000000000000000011111111000000000000000000000000111111110000000000000000010111111110111000000000000011111111111111100000000000000000111111111111111100000000000000000000111100000000000000000000111111111111000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001011000000000000000000000101001010000000000000000101010101010101000000000000000000000100111110000000000000000100000100100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100111100111110000000000000000000001111111000000000000001001111111111110010000000000000000000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000101111010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001111000110000000000000000000000000000000000000000000000110000000000111100000000000000000000000000000000000000000000000000111000110000000000000000000000000000000000000000000010001101100010100100000000000000000000000000000000000000000000110111000010011000000000000000000001100010001100010000011010011100000110111100000000000000000000000001000000000000000011000111100010001100000001100000000000000000000000001000000010000100110100100100100000101100000100110000101100011001111011000000000000001100000000000000000000000000000000000000000010000011000100011000000000000000000001000000100000100000011000110111111110111100000000000000000000000000001100011000011000000000000000000000100000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000010000000000000110000000000000000000000000110000000001100000100000000000000000000000000001000000001000111100000000010000000000000000000000000010000000000000010000110000000001100110000000110000000000000000110000000000000000110000000110000000000000110000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000110000000000000000110001100000000000110000000000000000000000000011101000011000000000000000000001100011001100010000011000000110000110001111111011000000010000110111100000000001100010001111001110000101100011001100011001100111001100011001111001110110001110011110000100110000000001110011010010011000100001000010010000010111110100010000000001110011000000100000111011000101110110101100000000000000000011110000000000011001111011100101111110111111110001000000000001110111101100011001011000000000000000000010001000000000000000000000000000000000000010000110100110110010001000010011000011111000010001000000000011000001100010000000110000000000000000110000000000000000000000110000100000111100000000000000000000010000000000000000000011110111111110110000000000000000000000000000001100011000000000000100100000000000000000011001000000000000000000000000000011000000000110000000000000000000000000000000000000000000000000000000100110110000000000000000000000000000000000000000000010010000110000100000000000000000000001100011001100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010001111101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000110000110001000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000110000001000000000000000000000000000000000000000000000010000101000000101000000000000000000010100001010100001001100000000000000000000100000000000000000000000000000000000000000000110110000001000000000000100000000000000000000000000000000000010010000011000010000000100000000100000000110100101010000001000000000000000100000000000000000000000000000000000000000000010101010000101000000000000000000000000000100000000000100000000000101000000100000000000000000000000000000000000000100000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000001000000000000001000000000000000000000000001000000000000000010001000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000010000100100000000010000000000000000000000000000000100000000000000000000000000010100001010100001010000000000001000000000100000000000000001000000110000000000000010000000100000000000100000000000000000000000000000000000010000000000000000100001101000000101000000010000000001000101000000000000001001000001111100000001000000010000000000000000011000001000000101000000100000000000000000001000000000000001010000001000000101000000101000000000000000000000000100000000000100000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000010100000000000000000000001000110000000000000000000000000000010000000000000000000000000001000000000001100000000000000000000000000000000000000000001000000101000000000000000000000000000000000000000000000000000001000000000000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000000010010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111110101110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000000000000000000000000000000000000000010000000000001110000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000010001000001000000000000000000000000000000000000000000000100001001000000000000000000000000000000000000000000000000000100001010000100100000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000100000000000000001000000001000000000000000000000100000000000000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000110000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010000000000010000000001000000000000000010000000000000000000010000000010000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000010000000000000000010000000000000000000000000000000000000100000000000000000000000000000000000000000000000000010000100000010001000000000000010000000100000000000001000001001000100000000000000000000000000000000100000000010100010000000110000100000000000100000000010000000010000000010000000000000000000000010000000100000000010000000000000000110000000000100110000100100000000000000000010000000000000100000010000000001010000000110000000000000000010000100100000000001010000000000000000000000000000000000000000000000000000000000000000000000110001001000000000000100000000100000000000000000000000000000001000000010000000000000000000100000000000000000000001000000000000101000000000000000000000100000000000000000000010000100110000000100000000000000000000000000000000100000000000000001000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000001000000000000000000000000000000000000000000001000000000000001000000000000000000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000101110101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000001000000000000000000000000100000001000000001000000000000000000000000000000000000000000000100000000000000001100000000100000000000000000000001000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010000100011001100011000000000000000000000000000001100011001000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010000000000000000000000000000000000000000000001000000000001110000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000000000100000000000000000010000000010000000000010000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000011000001010000000000000000000000000100000000000000010000000000010000001000110100000000000000100010000000000000000000000000010001000001100011001100011001100001101100011001000011000000110000000000000000000000000001000011000000000000000001000000000000000000000000000000000001000011000000000000000000001000010000000000000000000000000001100000000000000001001011000001001100011001100001000000000001100010001100011000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000001000000000000000100000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010001000110001000100000000000000000000000000010001000100000000000000000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000110001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111001100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000100010000000011111000000000000000000000000000000000011100000000010000111100000111100000000000000000000000000000011100000000000000000010011110000000000000000000000000000000000100000000000000001100000111100000000000000000000100000000100000001000011001111000000000000000000000000000000000000000101100000000110100000000000110100000000000000000000000000000000000001000000000000000000110000000000000001000000110010110001000001010011000011110101001100000000000000000000000000000000000000100000000000000000001100000000000000000000100000000000000001100000000011100100001000000000000000000000100000000000000001000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000000000000000000000000000000000000000000000000100100000100010000000111000110000000000000000000000000000000100100000100111100001011010001100000000000000000000010000000001000001001001000000011000001101100000000000000000000000000001100001000010000011100000111100000000000000000000000000000000000000000000001001111000001100000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000011100000000000000000000000000000000000000000110000000100100010110000000000000000011001110000000001000000010001110001000001000000000010000110000000100000000001000000110001110001000000110010000111001100110100110000000000000000110000000001000001000000000010001100011001111000000001000000110001111001000001110000000011111010100101111000000001000000110001111011010011000000000111010111000000111000000000000000110000000110110000111011000001100000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100010000011000010000000001100000000000000000000000000000111100000000000000110000000101100000000000000000000000000000111100000001000000000000000001100000000000000000000100000000011000000001001000011000000000000000000000000000000000000000101100011000011000000000000001100000000000000000000000000000111100010001001000111100000000000000000000000000000000000000111100011000000000000000000001100000000000000000000100000000111100001101011000101000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010100010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000010100000000000000000000000000000000000101100000000000110000100000000100000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000010000000000000000000001000000000000000000000000000000000000000000000000010000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000110010000000101000000100010000000001100000000000000000000000000000000000000000000000000000000000000100000000000000000000010000000000000000000000001000110000100000000000000000000000010000000000000001000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000010010000000000000000000000100000000000010000000010000000000010000100000000000000000000000000000000000000000000000100100000010000000000000000000000000000000000000000000000001000001000000100000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000000010000000000000000000000000010000000000000000000000110000000000100000000001000000000000000000000000000000000001000000000100010000000000100001001000000000000000000000110000000000100000000000000000010100101000001000000000000000110001001000010010000000000110001000000101011000000000000000010001000000010000000000000000010000000010000000000000000000000000000000000100000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000110000000000000000000000000000000000000000000000000010000001100000000000000000000000000000000000000000000000000000000000000100000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000000000100000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000010000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110011011001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000000100000000000000000000000000000000000000000000000000000010000000101000000000000000000000000000000000010000000000000000001000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000010000000000000100001000000000000000000000000000000000000000001000000000000010000000000001000000000000000000000000000000000000100000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000000000000100000000000000000000000000000010000000000000000000100100000000000000000000000000000000000000000000000100000000000000100010100000000000000000000000000100000100001000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000010000000000010000000100000000000000000100000001000000000100000000000001100000000000000000000010000000000000000000000100010000000101100000000001000000100000000000100000000000000000000000000000000000000000000000000000000000000001000000000000000000000100000000000000000000000010000000100001000000000000000000010101000000010000000000100101000000000000000000000000010000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000001000100101010000000000000000000000000000000000000000000000101000000000000000000100000000000000000000000000000000010000000010100000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000001111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000100000000000001000000000001100000000000000000000000000000000000010000000010000000000000000000000000000000000000000000001000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000001000000000011000000100000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000001010000000000000000000000000000000001000001000110000000001000001000000000000000000000000000000000000000010000000000000001000000000000000000000000000000000000100000000000000000000000001100000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000100000100000000000000000000100010000000000000000010000000000000000000000000000010000000000100000000000000000010000000000000000000000000100001100000000110000000000000000000000000000001000000000010000000000000000110000000010000000000000001000001001000000001000000001000000000000000010000000010000000000000010000000000100000000000001100000000000000000010000000000100000100010000010000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000010000000000000000000001000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111100100111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000100100000000000000000000000000000000000000011011000000001111000000001100000000110000000111110110000011011011000000001111000001011100000000101001100001100000000101011101000100011111000100001101111001111011100001110010001111011011000110100111010000100000100000111000001111000000000011011011010110111011000000101100100001110000100001100000000111001011000100011111001000111101001000110001010000000010011011000000000100000110000000111100000010110000000111100000001111011000000000000110001100011000000000010011000111000000000111000000000000000000000000111100000000010010000011000000000000000000000000000000000000111100000000011001100101100000000000000000000000001111000100000000010000000000000100000000001111000000000100000000001000000010000000000000000000000100000000000000000000000000000000111100000000010000000011000000000000011101000000000011000000011100000000111100000101100010001110011011101100001100000100110100010000111001000101100000000100011011001001001011001000111000000000010011000111101101001001011111000100000111001000011100100101111011000111100000001111000000001101100000000000111100000000011011000001000000000000000000001101100000111100000000000000000100100000000000000000000000000000001111000000000000000001000000000100000000001111000000000000000000100100110000000001111100100110000110001110011010111111101011001000111101111001110111000110001111001001011001000110000000011100011100000000000001100000000110000000011011000010000110000100011101100001101001010111101001000100011111100000000000000100101100100000011101101111101000001000000011000000000001111100011001000000011011000110100000000100011010000000001110000000001100100001111001110000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000001100010000110000100101000000001110011111000000000110000000001100010000110000000101100000001110011111000000000110001000001100000000110001000101101001001110000000000000000000000000000000000010011000000011000000000000001011000000000111000000111100010000110000100101100000001110000101000000000110000000001101110000110000001111100000001110000000000010000110000000001100000000110001000111100000001110011111000100000000000000000000010001110000100001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110110011111111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000010000000000000000000100000010001000000000000000100010000000100000000100100010000001000000001000000110000000001100000000000000000010001001000010000000100000010010001000100000001000000000010010001000000100000000001000000010000000001000000000000000000000000000000000000000100001010000000000100000100000001010000000100000000000000000010000000000000000000000000000100000000000010000000000010000001000000110000000000000010001000000000000000001000100000000010000000000000000000000000000000010000000000001000100000000000000000000000000000000000000000010000100000000100000000000000000000000000000100000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000000000000000000000100000000000100000000100001000000000000000000001000100100001000000000000010010000100100000000000000000000100000100000000001000000001000000000000000000010000010000100000000010000000100000000000100000000100000101001000000000100000000000000000000000000000000010000001001101000000000000000000000000000000000000111000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000100000000000000000000000000000000010000001000010000000010000000001000110000100010000000000100000000000100001001000000000100000000000101000000000011001010000000000000100000000001010000000000000000000000010000001000000001100000000000000010000000000000000000000000000010000000000010100000100001000000001000000010000000000010000000011001000001010000001000100000000000000000000000000000010000000000000000001101010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001010000000000101000000000001000000000000000001000000000001001010000000000101000000000000000000000000000001000000000001000000000000000000000000000000000001000000000101000000000000000010100000001000000001000000100000000010000001000000000001000000000000000101000000000001001000000000001000000000000010000000000000000101000000000000000000000000000000010000000000001101000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001010011011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000000000000000000000000000000000100000000000010000000000100000000001000001001001001000001000100000000000100000000010000000000000001000000000000000000000000010000000100000001000000000001000000011000001001000100000010100000000000000000100000000010000000000001000000000000000100000100000100000000010100000001000000001010000000010000001000110000001000000000100000000000000000000000000000001000000000010000000000000001001000000000000000000010000000001000000000000000000000100000000000000000001000000100000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000100000000100000000000000000000000001000000000000000010000000000000100000000001000000000000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000001000000000100000000000000100000000000000000001000000000000000010001010000001000100010000000000001000000000001000000000000001001000000000000000000000000000001001001000000100000000000000000110110000000100000100000000000000100000010100000010010000000011100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000010000000000000000000000000000000001000000000000000000001000000000000000000001000000000000000000000010000000000000000011010000001000000001000000000001000001000100000001000000100100011000100000000101000001100000000000000000000000000000000000000010000000000000000000100000001000000000010000000000001000000000000000000000000000100000000000000000000000100000000000010000110000000001000000000000000000000000110000000000000000001000000000000000000010010100000000100000000010100000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000010000000000000100000010010000010000000000000000000010000000010000000000000000000001000000100000000000000100000010000000010000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000010000000000000000000001000000000000000000000000000010000000010000000000100000000001000000000000000000000000000010000000010000000000001100000000100000000010000000000000000000000000001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011100000011110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000101000000110000000000000000000100000000000001000000000000000100000000000010000000000000000000000000001000000000100000000000000000000000000100001001010001000010000000000000001010000000000000010001000000000000000000000000000000000000000100000000000100000000000000000011000001100000100000000000000000000000000000100000000000001000000000100000000000000010000000000000000000010001000000001000000000000000000010010000000101000000000000100100000000000100000000000010000010000000100100000000000000000000000000100110000000000000000000000000000000000000000000000000000000100110000000100000000100010000000000000000000000001010001000000000000000000000000000000000001010000000000000000000000010000000000000000000000000001000000000000000000000000000000000100110000000000000000000000000000000000100000000000010000000001000000100000000000000010000000001000000001000001000000100000000100010000000000100010000000000000000100000000000000000000010000010000010000000000000000000000010000001110000000000000000000000010000000000000000100010000000000000000000000000100110000000000000000000100000000000000000000000000000000000000000000000000000100000000000000000000000000000001010000000000000000000000000000000010000001010000000000000000000001000000010000100000000000000001010001000000000000000010000000001010000100000010000000000000100000000000000001000000000010000000100000000000000000000000000000000000100000000010000000001010001000001000000000001110000100000000100000000000000000000100010000000010001000000000000000000000010000000000000000010000000000010000000000100010000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000010000000000100000100000010000000100000000000000000000000000010000000000100000000100010000001000000000000000000000000000010000000000100010000100010000001000000000000000000000000000000000000000000000000000000000000000001000000000100100000000010000000000100000000100010000001000000000000000000000000000010001000000100000000001000000000100000000000010000000000000010000000000100010000001000000011000000000000000000000000000000000010001100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001011100001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010001000000000111100000001010000000100111111001100000000000100000110000000000100010001011001000111110010000110000100010100001100000000101100000000000000000011000000001101000010000100001111011000111100000001110100000111100010011111000000000100001111000000111100000001110000000010100100000110000010010000001000000100100100000001111000100111100010000110000010000110001111000000101100000001111000000000000010000110000110001110001100001110111000000000000100100011100010000101000010000010001111000000111100000000000000100000000000000000001001001001001111000000111100000000000000000000000100100000000000000110000000000000000000000000000000100000000100001111000000000000000000000000000000000000000011110000000000000000010010010010001111000000111101101100000000000000000000000000000000001000000101111100111100000010111000000010001111001101000000000010001111000000011100000001110000000101100000001001000000000000001111000000101100000001011000000001100000001110000000000000001110100100111000000001111000000011100000000010000000001101001111000000111100000000000000000000000000000000000000001100000000000000000000000000000100100000010010000000000100000100000000000000000000000000000000000000000000001111000000000100001001111100111000000001111000000000011111000000000100000000001101101100111110110001100000000010000100001111001011010110001110001100101100100000000000000011100110101111000000010110000000001100001100100001111000000111100110000000001111000000001111001100001100000001100001000011110110001111011010011110000000001110011100000001100000000000000000000001000000000110000011000000100100000001111000000101110110000001000000000000000000000000000000000000000000000000000000000000000000000000000110000000101100000000110000000000000000000110000000010100000011000001111100000000110000000111100000010111000000000000011111000000010100000000110011100011000010001101000000001100000000000000000000000000000001010000001101000000000000010000000111100000001100000000110000000111100000000110001101000000001111000000111100000000110000000101010000000111000000000000000110000000011100000000110000100000000000000110000000011110001110000000000000000000000000001111101111000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110101001011111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000000000000000001000000000000010000000000000000000000000010000000000000000000000000000101000000100000000000000000001100001000101000000000001000000000010000010000000000000000100001000001000000000000001000000010010000000000000000000000000000000000000000000100010000000000010000000101000000000010010000000000000000000010001000000000000000000000000010000001000010000100100000000000000000000000011000001000000000000000100000000000000010000000000000000000000000000000000000000000100000000000000010000000000000000000000000000000000000001010000000000000000000000000000000000000000000100000000000000000000000000000000000000000000001000000000000000000000100000100100000000000000010000000000000000000000000000000000000000001100100001100000010000010100000000000010000100100000000000000100000000000010010000000001000000000000000100000000000000000001000000000000000000100000000000000000000000100000000000000000000000000100000000010000000000100000000000000000000000000100000000000000010000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000100000001000000010000001000000000000001010000000000000000000010000000000000010000000000000001000000000010000001000000110000000010000000100000000000000000000110000001000000000000000000000010000000100000100000000000000011010000000001010000000100000010000000100000000010000001001000110010000000001001001000000010000101000000000000000000000000000001000000000001010100000000000000000000100100000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000100000000000100000000000000000001000100000001100000000000000001000000001000000000000000011000000010000010000000000000001000000000000000000000000000000000000000000000000000000000000001000000000010000000000000000000000010000000000000000000000100000000000010010000000000000000000000000100000000000000000000000000000101000000000000000000000000000000101000000000001000001000000000000000000000000001000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001110010000110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000010000000110000000001000000000110001000000010000000000000110000000000010000000000100000000000001000010000010000011000000010000000011000000000000000000000000000010100000000000000100010100000000000000000100010000100100000010010000000010000000010000000000100000000100000000000000000000000000001001000001000000000110000000000101000010000000001000000000010000010000100000000001100000000100000000000000000000000000000000001100100000000100000000000000000110000000000010000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000101000000000000000000000000000000000000000000000000000000000000000001000001000001000000000000000000000000000000000000000000000000000000000000000011000000100000000000000000000000000000100000000000000001000000000000000000001000000000110100000001000000000000000001000000001000000000000010000000010000000001001000000000000010100000101000000000001001000000000000000000000000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000010000000000100000000000000000000000000000000000000000001000000000010000001000000110000000000010010000000000001000000000010000000000000100100111100000100001000000000000010000010100000000000100010101000000000100000000000000000000000000000010000000000101100000001000000110000001000000000000000000000000000000000000000100100000000100000000100000000000001000001001000101001000100000000000000000000001000000000000000000000000000000000000000000000000000000000000010000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000001100000010000000000000000000010000000000011000000001000000100000000010000000000001000000000000000000000000000010000000000000000010000000000000000001001000000000000000100000000000000000000000000000000000000000000000000000001000010001010000000000000010000000000000000000010000000000000000001000000000001000000010000000000100101000000000000000000000010000000000000000000010000000000000000000000000000000000101100010000000000000000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100110111101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000001010100000000000000000000100000000000000010000100000010000100010000100000000000000000000000000010000000000000000000000000000000000000010000000000100000000001010000100000000001000000010000000000000000000100000000000001010000000001000000000001000110000000000000000000000000100000000000000000000000000100000000000000000000000010001000000001000000100000000000000000010010001000010000000000000000000000100000000000000000001100000000000000010000010001010000000100110000000000000100000000000000000000000000000001010000000100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000001000000000000000000000000000000001010000000100110000000000000000000000000000000000000000000000000000000100010000000000000000000000011000000000000000100100010000000000100000100000000000000000000000000000000000000001100000000100010000000010000000000100000000000000000000000000100001000000010000001000000000011000000000100000000000000001010000000100110000000000000000000000000000000000000001000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000001010000000000000000000010000000110000000100000000000000000000000000000000000000001000001000001100001000000000000000000010000000000000000000000010000000010001000000000000000100100010001000000000000000000000000000000000001010000000000100000000000000000000000001010000000000000000000000000011000000000001000000000000000000000000001000000000100000000000000000000000000000000000000000100000001000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000000000000000000100000000000000000000000000001000000000100000000000100000000000000000000000000001000000001000000000100010000010000000100000000000000000000000000000000000000000000000000000001000000000000000000000000000000000010000000000100000000000100000000100000001000000001010000000010000000000100000000000000000100010000000000000000100000001000000000000100000100000000000000000000000000000001000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010010110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000001110000000001000000001111011000110100000001111000000000010000010000000010101100001111000000100100000000011000000000010010011011100111100010001111100100111000100011111000000000110001100000000110100000001100001000011100100101111000000000000010111100100111111111000111000000111100100000001000000000000010111011000111100000000011000100011101001011111000000000100000000001000111100100000000000000011000100000000000000000110001110100100010000100000010000000001110010000111000000000000000000010000011000000000000000000000000000000010000000000000000000000000111100100000000010000000000000000101000000000000000000001100011000000000000000000000001110001100000000000010000000001000000000000000000100100000000100000000000000000000000000000000011000000000000000000000000000000011000000000000001111011000110100010001111000000011100000001111000000001001000011000000011001101001011000100011100000000110000000000100001110001000101011010001111000000011101101000101000000001001000111011000011101001001110100100101100100001111000000001101100000011000001000100000000100100000000000000010000000000100000000000000000000000000000011000000000010000000000000000000000000100100000100000000000000000000000000000100000000001100000000000000101101111000000000000111100000001111000000001110001101000000001100110000011101100000000000001111000000010110001111001100011100110001111001110000001110000000000000000110001100111100001101111001000001000111010010001111000000001111001111000100111100000001111011010100101101000011000000000100001111110100011000100000011001000000101000000011000000000000001110001110111101010000110000001111100100001111000000000000000000000000000000000000000000000000000000000000000000000000000000100001111100000000000000000000000000000000000000000000000111100000111100000001111000000101100000001110000000000100000111010000111100100001111000100000000100100010000000000000000100100100011000000000000000000000000000000010000000000000001111000000001101011001101000000111100000001101000000000000000111011001011100000001111000000000000010000000000000000100000100001001111100000000000000100000000100000000000000000000000111111100111100100001111000100000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100010100111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000010000001000000000001000000001000000100000001100000000000000100000100000000000001000010000001000101100000100000000000000010000001000000000000000000000000001000000000000010000001000000000010000000000000000001000000000001110000001001000000000010010100000000000000000001000001001000000000100000010001000000000010010000000000000000000000001000000010000000000000000010000000000000000001010000001000001000000000000000000000000000000100000000000000000000000001000000010000000000000000000000000000000000000000000000000000001000000000000000001000000000000001000000000000000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000100000000000000000100000001001010000000100000000001000000000100000000000000000100000000000100000000100000000001001000000000001000000000000000000000000000000001010000000001000000000001000000000000000010000000010100000000000000000000000000000001000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000100000000000000000000000000000000000100000000000001000000000000000000000000000000001000000000001101000000000001001010000000000000000010000000000000000000100000000000000010010000010001001001000100000010000000000101000000000000001000000000000010000101000000000000000000010000010000000000001010010000000000001110000100000001000000100000001000000000000010100000000010000010010001001000000000000001100001000000000000000000010000010011001000000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000100000000000000010000100000000000000000000000000000000000010100000001001000000000100000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000010000000000000101000100000000000000010000100000000000000000100000000010100000000100000000000000001000000000000000000000000000000000100000000000000000000000000000000000000000000000100000000010000010000100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101011110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000001000000001000000000010010000000000000000010010000000000000000000000000010000000001001000000001000000000000000000000000000000000000100000000001000010010000010000001000000000010000000100000000000000000000010100000000100000010010000000000000000000010000100001000000000000000110100000000000000000000000010000000000100100000000000000001010000000000100000000000000000000100000110000000000000000000000010000000000000000000000001000000110000010000000001000000000100101000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000100000000000000000000000000000000001101000000000000000000000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000001000000000000001000000000001000000000010000000010000000010010000000000000000000000000000000000001000000000010000000000000000000010000001001100000000101000001000000000000000000100000000000000000010000000001000000000000100000100110110000010010000000000000000000000000000000000100000010000000000000000001000000000000100000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000100000000000011000000000000000000110000000001000000000000010100010000000010110001000000010000000000000001000000000001000001000000000010000000100100000000000000000000000000000000000001000000111000000000001000100000000000100001000000000000000001001000000100000000001000000000100000000000000000000000000001000000110000000000000000000000000000000000000000000000000001000000000001100000010001000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000000000000000000010000000100000000000001000000000001100000000100000000000000010000000001000010000001000000000000000000000000000000000000010000000110000000000000000000000000000000000000000000000000000100000000000100000001000000000000000000001000000000000000010000000000000000000001000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000110010010000001000000010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101001100111101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000100010000100010000000100000000000000000000000000000001000100000000000000000000000010000000000000000000010100000000010001010000000000110000100000000000000010100000000001000010000000000000000001000000000010000000000000001000001000000001100000001000000000000000000000000000000000000000010001000000000000010000000000000000000000000000000001000000000000010000000000000000000010000000000000000000000000001000000000000000000000000000001000000000100010000000000000000000000000000000000000000000000000000000010000000000000000000000000000100010000000000000000000000000100000000000000000000000001000000100000000000000000000000000000001000000000100000000000010000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000000000010100100000000000100000000010000000000000000100000000010001000000010000100000000000100000000000000000001000000000000010010001100000001010000000000001000000000000000100010001000000000100010001000000000000000100000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000010000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000101010000000000000010000000000000000000000000000000000000000000000000100011000000000000010001000000000100001100000010000000000100010000000000001000000000000000001000001000000010000001000000000000000000110100011000000000000000001000000100000000000001010000000000001000000010000000000000000011000000000100000000000000010001000000000000000000000000001100000000000000000000000000000100010000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000010000001010010000001010000000001000000011000000000000000000010000001000010000001010000100000000000000100000000000000000000000000010000000000000000000000000000000100000000000000010001000000000000000100000000000100110000001000000000000000000010010001000000000001010000000000000000000000000000001000000000010001000010000000000000100000000001000000000000000000000010000010010010000001010000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111011111010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000100000100000010000101010101111110100001000000000000000111111110000100000010000111100001111110000001000000011001100101010010000100000010000010111001111101000001000000011111111000000100000100000010000001100111111100000001000000011111111011010010000100000010000111111110011001100001000000000111100011000010000100000010000111111111110110000001000001000000000000000000000000000010000111111110001000000001000000010101010111111110010000000000100111111110011110000001000000000000000000000000000000000000000000000001111111100001000000000000000000000000000000000000000000000000001000100001000001000000000000000000000000000000000000000001111111100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100001000000010100000111101110000100000010000111111101111111100001000001011101110110011110000100000010000000000001011111100001000000011110000001100110000100000000000111110111111111100001000000011111111111101110000100000010000111111110000111000001000000000000000000000000000000000000000000000000111111100001000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000111111110000110000100000000011111000000001010010000000000100010011000010001000001000001011011010111100000010000000000100000011111111000000100000001000000000111110100000100000000000000011111111110000000000000011111111111100000000000000000000000011110101010100000000000001110111111011100000000000000100000011111111101000000000001011011111001111110000100000000100111111111111000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001101101011010110110001000000010011001000000001000100000010001111100111100111110001000001001010101000000001000100000010001001111111100111110001000000000000000000000001000100000000000000000001110101000100000000000111100011010100000100000010001001111110011110010001000000001011010000000001000100000010001101101011010110110001000001000000000000000001000100000010001101101011010110110001000000001010011000000001000100000000000000000001111100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111001010110000100000010000111111111111111100001000000000000000101100000000100000010000111110001111110000001000000001101100010101100000100000010000101000111111111000001000000001111111111111110000100000010000011100111111111100001000000011110101100101100000100000010000010111110110001100001000000000111100100001100000100000010000111010111111110000001000000000000000000000000000000000010000011101010001000000001000000011111111111111110000100000010000111111111100110000001000000000000000000000000000000000000000000000001111011100001000000000000000000000000000000000000000000000000001110100001000000000000000000000000000000000000000000000001111111100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100001000000011101100111111110000100000010000111111111111000100001000000011101110111111110000100000010000000000001111111100001000000011111111001101110000100000000000111111111101111100001000000000110011111111110000100000010000000000010001111000001000000000000000000000000000000000000000000000001111111100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000010100100001110101110100101000000010001000110011010010100000010100010011000010111100001000000011111111111100000010100000010100000011111111000000101000000000000000111110100000100000010100000011111100110000101000000001110111111100000010100000010100000011110101010000101000000001110111111010110010100000010100000011111110101100101000000011111111010100000000100000010100001100111111000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001101101011010110110001000000000001111000000001000100000010001001111000011110010001000000011000011000000001000100000010001001111110011110010001000000000000000000000001000100000000000000000001110111100101000000000111100101010100000100000010001001111111100111110001000000000110011000000001000100000010001101101011010110110001000000000000000000000001000100000010001101101011010110110001000000001011100000000001000100000000000000000001111110100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100010110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101100100010000001000000001000101110111111101100010000000000000000101010100001000000001000000101111111110100010000000000110011110010010001000000001000111011100111101100010000000010111111011010010001000000001000000110111111000000010000000011111111111101010001000000001000111111110110101100010000000000000000101011110001000000001000000011111111000000010000000000000000000000000000000000001000011101110010000000010000000001111111101010100000000000000000010111111010101000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000001111111100010000000000000000000000000000000000000000000000001010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000110011010100000001000000001000111101111111111100010000000011111110111000000001000000001000111111000000000000010000000000000000010111010001000000001000011111111010110000010000000011101111111111110001000000001000011111111111111100010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111100010000000000000000000000000000000000001000100110011010001000010000000000000000001100100001000000001000010011000010111100010000001001110110111100000001000000001000000011111111000000010000000000000000110001000001000000001000111111111111000000010000000000010000000000000001000000001000011111111111000000010000000000000000000000000001000000001000111111010000000000010000001000000000010011100001000000001000000011111111000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001101101011010110110010000000000001100111111111001000000001001001111000011110010010000000010100011001111111001000000001001001111111111110010010000000000000000111111111001000000000000000000000000000000010000000000000000111100000001000000001001001111111111110010010000000011111010111111111001000000001001101101011010110110010000000000000000111111111001000000001001101101011010110110010000000010110001111111111001000000000000000000001111000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100101111101111111111111111111111111111111111111111111111111 +00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011100000100010000000000000000101111101111111100000000001000000000111111110000000000000000111111111111111100000000000011000011110010010000000000000000111111111111111100000000000011111111100101100000000000000000111111111010101000000000000001111111111111110000000000000000111011110110010100000000000000000000101011110000000000000000000111111010010100000000000000000000000000000000000000000000011101110010000000000000000011111111111111110000000000000000010111111111111100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101101000000000000000000000000000000000000000000000000000001111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111011001100110000000000000000111101111111101100000000000011111010000000110000000000000000111011000000000000000000000000100011010111010000000000000000011111111111111100000000000011111111010101010000000000000000111111110111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000111111111111001100000000000000000000001100100000000000000000010011000010001000000000000001100110111100000000000000000000000011111111000000000000000000000000100001000000000000000000111101011111000000000000000001010000000000000000000000000000111111111111000000000000000000000000000000000000000000000000111111110000000000000000000000000000000010100000000000000000000011111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101011010110110000000000000111111111111111000000000000001111100111100111110000000000010100011001111111000000000000001001111111111110010000000000000000000111111111000000000000000000000000000000000000000000000000000001111000000000000000001001111111111110010000000000001010000111111111000000000000001101101011010110110000000000000000000111111111000000000000001101101011010110110000000000000011011111111111000000000000000000000001111101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001101000110001100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000110001100000000000000000000000000000000000000000000000000011010001100000000000000000000000000011000000000000000000000000000001001110010000000011000000000011111001001100011001111011010001100000000000000000000000000000000000001100011000011000000110000000110100000000000000000000000000000000000000000010111111111110111100000000000000001000000010001100001101111000110000011010001100000000000000000000000101100000000000000010111111111110110011111010000000101100000011011100001100011011000101100000110100000000000000000000000001100000000100000011000010000100000000000001000000001100000010001100001100110010110100011110111100000000000000000000000000011000001000000011111110000110110000000000000000000000000000000000000000000011000000100000000000000000000000000000000000000000000000000000010001111000001100000000000000000000000000000000000000000011010110011000001100000000000000001100000000000000001100000010100011001010111000110010000000010000000001010100011000000001110110011000111111100011100000000011111011111111011100000011110011001100001011111010111111011010110011011111011000000011100101000010011101100011001100011011111011000000000100000011110111011100001100000001100110000011111011011111011001111001110111111110111000000000011111011011111011011111011001111001110110111110110100000000000000000000000000001100011001111000110110111011000100000110001100011000110000001100011000011000010010010100011111110000000110000000110011000000001101111000100101001010101000000011000000000001100110011100010001000010111111010111011111110001100100000011100011001110011001111011110111110111111100000000000000000001100011001100011001001000000000000000000000000000000000000000000000000010100000000000000001100000000000000000010001000000000000000010000000000000000001100000110000000000000000000000000000000000000000000000000011101100110000000000000000000001100011001100011000000000000001100000000000000000000110000000000000000000000000000000000001100000110000000000000000000000000000000110000000000010001001100000000000000000000000000000000000000000000000000000000001100000100000000000000000000000000000000000000000000011000011110111110000000000000000000001100011101100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110101011011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000001001000000000000000000000000000000001100000000000000000000000000000000000001000000000000000000000000000010100101001110000110110000000000000000000000000000000000000000000000000100000000000000000011000000000000000000000000000000000000000000000000000101000000100000000000000000000000001000000010000100000000000001000000100000000000000000000000000100000000000000000000001100110000000000001000000010000000000000010000111000000011100000000010000000000000000000000000000100000000100000001000001000000000000000000000000100100000001010101000000000010010000011000000100000000000000000000000000000010000000000000100001010000001000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000000010001000010000000000000000000000000000000000000000000001000000001000010000000000000000100100000000000000100100000001000000000000001010000000000000000000000100001000100000000010100001001100100101000000100000000000001000000000000100000011100101000000000000000000100000000001000000000000000000000001100000000000100100000000000000000000000000000000000100000000010100010010010000000000100000000000000000000000000000100010000010001100101000000000000000000000000000000000000000100000001000000010010000000000000000000000000000000000000000001000001001000100000100000000000000000010000000000000000010000000000000011000110011100000010000000010000101000000100100001000000000000000000000000000000000000010100000011000001000000000000000000000000101000000110000000001000000000000000000001001000000100000000100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000011010100000000000000000000000010100101010100101000000000001000000000000000000000010000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000010011000000000000000000000000000010101001010100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000001010010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000110000000000000000010001000000000000000000000000000000000000000000000000100000000000000000100000001000000000000000000000000000000000000000000010000001010000000100000000000000100000000000000000000010001010000000001000000000000000000000000000000000100000000000000001000000101000000100110000000000000100000100000000000000000000000001000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001000001001000101000000000000000000000000000000000100000000001000010000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000001000100110000000000000000000000000000000000000000010000000100000001000000000000000000000111000010001000000000000100000000000100001001000000111000000111000000111000000000000000100110000000000000100000000100000111000000000000000000001000001000000100000000000001000000000111000001001000010001010000101001000000100000000001001000000111000000111000001010001000001010000001000000000000000000000000000000000100000100010000001000100000000000000100000000010000000000000000000000010000000000000000010000000010000000010000000000000000011100000000000110000000100000100000000000000000000100000000000010010000100110000000010000000000000000000000000010000000001001010000000110000000100000000000000000000000000000000000000010000000000000000000000000000000000000000000000000100000100000000000100000000000000000000000000000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000100000000000000000000000100000000000000000000000000000000000000000000000100000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000001000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000101001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000001001100000000000011000000000000010100000000000000000000000000000000000000000000000000000000000000010001000101000000000010000000000000000000000000000000000000000000000000000000100001101100011000000000000000000000000000001100001001000010000000001000001000000000000000000000000100000000000000000000100001000100000100010000000000100000000010000101100000000000001000000000000000000000000000000000000001000000000000000000001000000100000000000001000000000000000000000000100001000000000000000001000100000000000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000001000001100000000000000000000000000000000000000000000001000000100001100000000000000000000000000000000000000000000010000001100001000000000010000000010000000000010000000100000000000000000000000101100011000000000000010001000110001000000000000000010010000010010000000010100000000100000010100000000000100000000000010010001000110001000100010100000000000000000010001000100001000000000001000100000000010100000110100000010001000000110000000000000000000110100000010100000010100000001001100010011000010000000000000000000000000000010001000101100000100000000000000000000010001100011000000000001100011001000000000000100000000100000000000000000000000000000000000000000010000001001000001000000000100000000000000010001000000000000000100010000100011001100001000000000001100011001100011000001001100011000100011000000000000000000001100011001100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001011101011001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000001100000000000000000000000000000000000000000100001010110000001100000000100000000000000000000110000000111101101100000000011101000001000000000000000000000100000000000100011000000001011000000001100000000000000000000000000000100100100110000001001111000111100000000000000000000110000000000100001111011001011111001001100000000000000000000000000000001000000010000000110011011101100000000000001000000100000110100100000110010000111111010111100000000000000000000000000000000000011000000000000011000110000000000000000000000110000000001100000000111101001011000010000000000000000000000000000000001100000000011000111011110110000000000000000000000000000000011000001000011000011111000000000000000000000000000000000000001000000000101100011011000000000000000000000000000000000000011000000100000000001011000100000000000000000000000000000000001000011110011000111000000110000000000000001000000110000000000000001001011000011010111011100001000000001000000110000000100100001110000000111111100011001111000000001000000110001001000000001110010000111010100010000110000000001000000110001001111100001110010000000011110011001111000000000000000110000000000100100100010000111100100010000110000000001000000110001100000000100100011000010001000110100110000000000000000110000000011000001000110000100111110011100110000000001000000100001110100100000110001000111111110110100010000000001000000110001110000000001100110100100000100000100110000000001000000010001010001100100100110000100000100101100100000000000000000110000000000100010101101100101100000001000110000000000000000110000000011010100100000000010000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110010001001000000011000001100000000000000000000000000000111100001001001000011000000110100000000000000000000100000000011000101100000001011000000001000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000100100010110011000000000000000000000000000000000000000000000111100000011001000110011011110000000000000000000000000000000111100011100001000000010000111100000000000000000000100000000111100001010000000010101110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110011101101010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000100001000010000100000010000000000000000000000110000000000000000000000010000100000100000000000000000000000010000000000000000000000000001000000110000000000000000000000000000000001000010000000000100000110000100000000000000000000110000000000000010000001000110000101100000000000000000000000000000000000011000000000000000000101100000000000000000000000010010000000000010000000010000001100101100000000000000000000000000000000000000000000000000000010000000000000000000000000110000000000000000000000000000000010000000000000000000000000000000000010000000000010000000001000001000000000000000000000000000000100100001000000000100000010000000000000000000000000000000000000010000000000000000000010000000000000000000000000000000000100000000000000000000000110000000000000000000000000000000000000000100000000100010000000000000000000000000000000110000000000000000000000000000000000000110000000000000000000110000000000000010110000000100001100000001011000000000000000110000000000000010100000000000001000000000000000000000000000110000000000000010110000000000011100100000001000000000000000000000000010000000000000101000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000100000000101000001000000011100000000000000000000000000001000000000100000000001001000111001000000000000000000000000000010000000000000000000000010000000100000000000000000000000001000000000000001000000000000000100100000000000000000000000000000000000000010000001000100000100000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000100000000000000000000000000000000000000000000000000000000011000000000000000000000010000000000000000100000000001000000100000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000010000101000000000000000000000000000000000000000000000000000010000010000000000100000000000000000000000000000000000000000000000000000000000010000100000000000000000000010000000000000010100000000101010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000001011101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000000000000000000000000000000000000000010010100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000001000000000000000000000000100100000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000001001000001000000000000000000000000000100001000000011000000001001000010000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010000001000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000100000001000000000001100000001000000000000000000000000000000000000000000100010000110000100000000000000000000000000000000000000000000000000001100000000000001000000000000000000001010000000000011000000010000000000010000000000000000000000000010000000000001000000000010000000001001000000000000010000000000000000000000000000010100000000000000000000000100010000000001000000000001000000000000000010000000000000000000010000000000000001000000000000010001000000000000000000000100010000000101010000000000000000100010000001000000000000000100010000000101000000000010000000001000000000000000000000000100000000000001000000000000000000001000000000100000000000000000010000000000000000001000010000001000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010100000000000000000000000000000000000000000000000000000000010100000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000100001001001000000100000000000000000000000000000000000101000000100000000000000100000000000000000000000000000010010000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110100000110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010000000000000000000101000000000000000000000000000000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000101000100001000000000000000000000000000000000000000000000000000000000000000100000000000000000000000010000000000000000100000000000000000001100000000000000000000000000000000000000000001000000000000000000001000100000000000000000000000000000001010000000110000000000001010000000000000000000000000000000010000000000100000001000101000000000000000000000000000000000000000000000001000010001000000000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000010000001000000001000000000000000000000000000000000000000000000000001010001000000000000010000000000000010000000000000000000001000000001010000010000000100000000000010000000000000000000000000000000010000010000001100000000000010000000000000000000000000000000000101000100010001000000000010000000000000001000000000000000000000000000000100001000000000000000010000000000000000000000010000010000000100110000000000000000010000100000000000000001000000101000000000110000000000000000010000000000000000000000100000001100010000110000000000000000000000000100000001000001000001010000000000010000000000000000010000000000001000000100000000000000000000110000000000000000010000000010100000000000100000010000000000100000000000000000010000000001000000000010000000000000000000110000000000000000010000000010000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000001000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000111011100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000010010000000100100000000000000000000000000000001111000000000000000000100000000100000000001111000011100000000110000000001100000001110000000110100100000100000000001111001100100100100000010001111000000110000100010111000000001100001111000100000001011010111011000101010110001100000000010110001111000100001100000001010100100111100000001110011001000100000111001000011100000001110000000101100000001110011011000000000110000100111001011001100001010010110010001110011011000010001010011000111100100001111001000101000000001111000011000000001111001001011010010001111000000111100100001011011011011011001010100000111100010000011000000001100010000110011011000000010111000100010100010001111001000010100000001110010010101101111111000000011000000000011000001111100000011111011011000000001111000000111100000001101000000111001111010011011010000000000111011000011110000011111011000001100000001110000111000000001010011000101000100001111001000011010010001110001011001101001111000100011100000001111000000011100110001011011011000010000011011001111100000000110001100000000110000000000111001111000000111100001101101101111001100000001011000000000000000110000000111100001100000000110100100100100110000000001111000100000011000100010000010001000001100110100110001111011011000000000111111100001100000000011101100111100110001110011010000000001110001010011100000001110110100111110110001111011011101001001111001101011000010000100000100111100100001111000000000000011111011100111100010011111111100101100110000010000000000110000010101100100000000000000100100001000110001111011010100100000110000000010100000001111001110000000110000000011000000000010111000001011100000001111001110000001111100000000000000000000000000000000000000000000000000000000000000000011011000000001011000100011100000000110000000110100000001111011010100000000110000100001100000000110000100101100000001110000111000100000000000000000000000011111101100100100000011111000000000100000000000000000000000000000000000000001000000000000000000000000110000000001100000000110000100101101001001110011000000000000110000000001100000000110000100101100000001110000111000000000110000000001100110000110000100101100100001110000111000000000000001000000000000001110000100100100000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110101101100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000100000000000000000100000010000000000000000000000000100000000000000000100000000000000000000001000000000000000000000000100100000000000010000001000000000000001100000000000000000000100000000010000000000000000000000000000100000000000000000000000000000001000000000000000000000100010100000000000100000000000000000000001000000000001010000000000000000000000100000000000000000000100000000000000011100000000000000001001010000010001011000000000000000010000010000000000001000000000100000000010000000000100000000100000000100000000000000000001000000000100000000000001000000000000000000000000001000000000100000000100000000001000000000000010000000000000100000000000000010000100000000000000010000100000000000000000100000000001000000000010000000000101000000010000000000000000100000001001001000000001010000010000000000000000000000000000000000000010000000000001010100000100000000000100001001100000100000010000110000000001000000001001001000100000000000001000010000000010000010000000000000000000000000000000001000000010000000101000001100000010000000000000000000000000000000000000000000000010001100000000000000000000000000000000000000000010001000000001000001000000000100100000010000010001010101000000100000001000001000000001000010000000010000000000000110000000000000000000000010000000100011000010010110100000000001100000001000010000100001000000000000001000000000001010000000000000001000000011000000100001010001100000000010000000000000000000000001000000000000000000000000000001000000100000000110000000000000000001000000000001101010000000001010000000000110000000001000000001000000000001101010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000001000100000100000000101000000000101000000000000000000000010000001000000000001000000000000000000000000000000000010000000100000100000001000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000010000001000000000001000101000000000101000000000000000000000000000001000000000001001000000000000101000000000001000000000010000001000000000001001000000000000000000000000000000000010000100000100000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011100111011101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000010000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000001000001000000000001000000000001010000000000000000000000001000000100001000001000100000000000010000000000000000000000001000000000000000000010000000001000001001101000000000001000001000000011000000000000100010000100000000001000010010000000010001100001000000000000010000000000000000001000010010100000000000000000100000000001000000000000001001011000010000000010000010100001100000000000100100000100000000000100000000100000010010000000000001000000101000000001000000101000000000001000000010010000100100000000000000000000000000000000000100000000010000000000010000000000010100000010000000001001000010000000001000000000000100000000001000000110000000010010000100000000000100000000001000000001000000000000000001100000000100000000000000000000000001000000010000001000000000001000000000100000001000000000000100000000011000000000001001000010000000000000101000000000000000000001000000000000010000001000000100000000000001000000100000000010000100010000000000000000000000000001000000000110000000000000100100000000000010000000000000000001100000000110000000000010000010000000000001000000000000100000000001000000000000000000010000000000000010001000000000000000010001000110000000000000000110000000010001001001000000000000001001000001000000000000100000100000001000001000000010000000000011100000000000000000000000000100100000100011000000000000000100000010000000000000010010000000000010000001000000010001000000100110000000000000000000100000010000001000000000010000000000000000010000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000010000010010000000010000000000001000000001001000000000000000000000000010000000010000000000000000000001000000000010000000000000000000000000001000010000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000010000000000010000000010000000000000000000001000000000000000000000000000010000000010000000000000000000001000000000000000000000000000010010000010000000000000010000001000000000000000000000001000000000000001000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110101101000000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000000000100000000000000000000000000000001010000000000000000000000000000000010000001010000000000000100000000000000000000001100000001000000000000001000000001000001000100000000000000000010000000000010000100000000000001000001010001000000000100000000010000000010000001000000000001100001010000000001000000000100001000110000000011000000000000000000000000000001000000000101000000100010000001000000000000000110000000100010000010001000000001000000000000010000000000000010000000000000000000000000000000010000000010001000000000000000100000010000000010100000000000100100000000010000100000010010000000001000000010000010000001000000100000101000100000000000000001000000000100000011000000000000000000000000000000000001000000000000000000000000000000000000000000000000100000000000011000000110000000001000000000000010000000000000010100000100010010001000000000000000010000001000000110000000000000000010000010000000011000000000000000000100000000100000000000000001010000000000100000001100000000001000000000010000100000000000000010001000000000000100000000000000011000000000000000000000000000000000000000001010000100000000000000000000000000000000000000010000000000000100000100100010001000000000100000000000000000100000000000000000000000100010001001000000010000000000000010001000000000000100000000110010000001000000010000000001000000000001000000010000000000000110000000011000000000000100000000000000000000100000000100001000000000000000000000000000001000011000110000000000010000110010000000000000000000000000000000000000010000000000001000000000010001100000000000000100100000000000000000000000000000000000000000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000010000000000100000000100000000000000000001000000000000000000000000100010000000000100000000100010000001000001000000000000000000000000000000001000010000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000100000000100010000001000000000000000000000000000010000000000100000100100010000001000000000000000000000000000010000000000100000000100010000001000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000111110011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000100000000000000000000000000000000000000000000001101001111001101010010001111000000000101101001111000000101100110001110000010001101000011100100110110010001110001000101110110010011000000000010000000001001001000010000011000100000001101001111000000000000000110001010011100000000010010100000000000001111011111000100001110011100011001101101110111100111100100001100000100000010001110101100011100010001111000100011100000001111001101000110001110000100111100010000111000000111110010000111000010000000011111001000101100010001110100000011100000000001000100001100001110011000011100010000111000100111100000011111000010000110000110000000011100100000011100100000000010010110010010010010001101100100101100000000011100100011111111011111000000010110000110000000111111111000100010000111100000000110001001011000001100010000101100000011111000000111011111001101000110000010000110001000111110110001110000000101101001001110000010001100001111001010111001101000000000100111100010000111000110001110000000111100011100010000110000000000011111000000001110001110011111111100011100010001111000100001001100011111001110000010000000101100011100000000110000000000000100000000001001001110010011011000011000010000110000100010000110100011011110001100001110011000111100010001100001000101111110001100000010001100000111101100101100010001111000000001000000001110000110000110001110000000100100010001100101100001000110001101001100001110000000000000100000000000011000000111111011000000011111000000000110011100111100000001111000000000010010001111000000000110001110101110110101101101111011000100111111001111000000000110000001011100111100000001111000000100111111100010000000000100000000000000000000000000000000000000001101000000000000001101000110000000101000000000110000000000010010001001000000001101000100011000111100100000110000100101100100001000000000011111000011001000111100000001101001001111100110001100000000000000000000000000000000000000000000000000000000000000000000000000000110000000111100000000110011000000000000000110000000001101001111000000011100000000110000000110100000001111000000001100001111001001111000000000110001000111100000001110000000011111011111000000111100000000110000001011000110111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111011100011110111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000010000000000000000000010000000000000000000000000000000000000010001000000000010000000010000000000000000100000000000000000000000000000000000000001000000000000000000100000000000000000000000000000101100000000001001000000000000100000000100000010000000111000100000000000000101000011010000000000000000000000000100000000100000000010000000000100000000010011000000000010000100010001010000000001000000000100000000010000000000000000010000000000000000000000000000000111000000100000000010000001000000000010000110000100100000000000110000100100000000001000000000000000000010000001000000000000001000000010000000000000001100000000000000000100000000000000010000100010000000000000000000000000000110100000100001000000010000000101000000000001000100001000000000000001000000000000010000100000000000000000000100000000000011000000001000000001000000000101000000000001001000000000000010000000000000000000010100100000001000000001000000000010000010000000000000000000000000000000000101000001001010000010000011000001000000000000000011001010000101000000000000000000000010000000000000000000000000000000000000000001010000000010000011000000000000000000010100001000000001000001000000000010000010000000000000000001100001000010000000000001100000000100000000000001000000000000000000000000000010001010000010000000000101000000010000100000000010001010000001000001000000000000000000000001000000000000011000000000000010000000000000000010000010000100101000000000000100001000000000001010000001000101000000000001101001000000000010001100000000001010001000010010001110000001101000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000010101000010000000000000011000000000000000000000010100001100000000010000010010000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001000000000000000000000000000000001000000000000010000000000000000000010000001000000000000001001010000000100000000000000000000000010000000011000000000000001010000000111000000000001000001000000100001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000111000101001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000100000000000000000000000000000000000000000000000101000000000101001001000000000000000000001000000000001110000001001000000010000000000000110010000100000010100000000111000000000000000000001000000000000000000001000000000010000010000001000000000000000000000000000000000000000000000010000000000001000001000100000000011000000000000000000100000110000010000010010000000000000000101110001010000000001000000000000100000001000000000000000000101000001100000000010000000000011001000000000000000000000000100100001110000000000100010000000000000000000000000000000100010000000000000000000000000010001000000000010000001000000110000000000010000000100000010010000000000000000001001001001000100010000000000000000001010010000001000000100000000001001000001000000001001000100000000000000000000000000000000000100000010000001001000000000010000000000001010001000000000000000000000001000100011000011000000000110000000000010000000000000101001000000000100000100000000000001100000000000000000000000100000000110000000000010000000000000011000000000000000100000100010000110000000000000010000000000100000000010000000100001000000000110000000000010000000000000000000000000000000000001100000000000000000000010001000000000000000000000000101100000101001000000010000001000010100000000000100000010000001000000100000011000100100001010010000000000000000011001000001000000000010000000100000000000100010000000100001000010000000100000100000000000100000000000001000000000001000000000000101000000000000000000100000000001000000000000001000000011000000000000000010010000100000000000010000000000000100001000000000000000000000000000000000000000010000000000001000000001000000000000000000000000000000000000000000000000000000100000000000000000010000000000100000000010000000000000001010000010000000000000010000000000010000000010000000000100000000100010000000000101000000000000010000000000010000000100010000000010000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000010000000000000000000010000000000000000001000000000010100000010000000000001000000001000000000000000000010000000100000000010000100000000100000001000000000000101000010000000001000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110110001010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000001010000000000000010001000001000000001001010000001000000010001000000010000000000000000000000010100000100000000000000010000000000000000000000000000010000000000000010000000000000000001010000000000000010001000000000000000000000000000000000000001010000000000000000001000000000100000000101000000000010000000000000001000010000000100000000000010001100000100001000000000000001000000010000000000000000000010010000000000010000100110000000010000000000001000000000000010110000000000000000000000000000000000000110000000010000100100000010000000000100000000000000000000000000100000000010000000010000000000000000000000000000000000000000000000100110010000000000000001000100011000000000000001000100000000000010000000000000000000000100000000000000000000000000000000000001000000100000000000000110001001000000011000010010000000000001100000000000000000000000000000000000100000000100000000000000010000000000000100001000000000011001000000010000000000011000100010000100000000000000010000000000000000010000000000011000100000001010000100000000000000000000000000000000000010001000100000000100000000000000001000000000000000000000000000010000100000000000000100000000000000100000000000000100000000010000110000001000000000000011100000000000000000000011000000000001000000000100000000000100000000000000000000000000100000000000000000000000000010000000000000000000000000010000000000000000000000000000000000000100000000000000000000000010001001011000100000000000000000000000000100000000000000000100000000000001000000000000010000000000100010000000000000000000000010000000010000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000100000000010000000000100000000000000000000000000000001000000000010000000010000000100000100000000000000000000000000000000000000000010010000000000000010000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000100000000001010000000100010000000000000000100000000001000001100000000000000000000100000000001000000001100000000001000010000010000000000000000100000001000010000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010110011100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000100100100100000000000000000000000000000100000000000000001111100100111010010000101001100111100010000100000000011110000111000000101100100001000001000101100000000111000000000110000000001000101000000000000011000011001111000111000000000100000000101110110001101000000011000000001101000010000000000100001111001100111100110011111111011111101100010111000000000100001111000100111100100000110100000011000100001111000000000100011111001110111101111001111101100001101100000100000000000000000011100100111100110101111000000101100000000110000000000100000101111000111100110011111000100101100000000110000000000010000010001000110100110000011000001011110110001100000000000010000011100100001100000000100100100011100000000111000000000000001101001000011100000000011100100001100000001111000000000000001111101100111001100011110000000011000000001111000000001101001110011000100100100000011000001001100100001111000000010000001110101100101101111000111000000000001101001111000000010110000011101100111100110000011011110000000100000000000000010110001111000100011111111001111001100000000000000000000000011110000110001000100110010000000001100000000000000000000000001100000111111100111101101100010000100110000010001100000000001110001100111100111111111000111000000111100010011111000000000110001111111100111101011000110000100111100100001111000000000100001111001110101100000001111000100100100000001100000000000010000011011100011000110001111000100110100010001101000000001110001111000100111100110001110111100000100000000110000000010110001101011110011100110001100000000111100000001111000000000110011011001100101100110001110010000111100000001111000000000000000000000100000000000000000000000000000000000000000000000010000001000000011100000000010000000000000010000000000000000000000111100100011100010001111000000101100010000111000000000000000111100101011000000001111000000111100000001111000000000000000000000000000000000000000000000000000000000000000000000010000000000000101100000000000000000000000000000010000000000000000111000100011100010001111001000001000010010111000000000000000111001100001100110001111000000111100110000110000000000000000111100100011000000001111000000100100100001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011101001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010011000001000000000010000000001010001000000100000000000001100000000000000000000000000000000000000000010000000000000000000000000000000000000000000001000001010010100001000000000010000000000000100000000000000101000000000000000001000000000010100000010001010000000001000001001000000001001000000000000000010100000000100000010000000000001001000000001001000000000000010100010001000101000001000000000011000001000000000000000000100000000100100000000010001000000001100000000101000000000000001000000010010010010010010010000000000000000000000000000000000000000001000000000001001000000100000000000010000000000000100001000000000000000000100000000000010000100000000000000000100000000000110000000100000000000000000000100100000000000000010011000000001010001000000000000000010000100000000000000000000001000010000100000010001000000000000000100000000000000000000000000000001101000100100000000000000000001000000000000000100000000000000010000001001001000000000000000000000000000000010000000000000110000001000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001100000000010000110000000001000000000010000000000000000000101000000000110000011010001100000001000001000010000000000001010001001000010010011000000100000100100000000010000000000000000100000000100001000000100000000000000100000000100000000000000100000000010000010010100000000001000000000001000000000000001100100000001000000000000000000010000000000000101000000000010001000011000000011010000100000001011000000001101000000001000001000010000000001010000011000010000010000100000000000000000000000000000000000000000000000000000000000000000000000001000001000000000111000000000001000000000000000000000000000000000100000000000010010000100000000000000000000001000000000000000100000000001000000000100000000000010010000010000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000001000000000000100000000000111000000100000000000000000000001100000000000000100000010000010000000100000000000000011000000001000000000000100000000000000010000100000000000000000000100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000010000110000000000000000000000000000000000000000000000000010010000000001000010000100000000100000000000000000000101110000000000100100000001000001000000100000000001000000000000000000000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000100000000000000001110010010000000000000100000000000000000000101000011001000000000000000100000000000011000000000000000000100000000100010000011000110000000000000100000000000000000000001010000001000000001000000000001000000000000000000010000000000000100100000000000100000000000000000000001000000000000000000100000010010000000000000000000000100000100000000000010000000010000000000000000000010010010000000010000000000000000000010001000000000000000000010010000000000001000000000000000000100011010001000000001000000000000000000010011000000000000001000000000100000000000000000000000000000000101000000001000001001000110100000000100000000000000000000101000000000010101000000100110110010001000000000000000000000000000000000000101000100000000000001000000011001000000000000000000000000000101110000001000100001000000000001000000000000000000000000000000100000000110001000000000000000000000000000011000000000000000100010000100010001000000000000000110000000010010000000000000010010000110000000000000000000000011000000010100000000000000101000000000011000000001000000000100000000000010000000000000000000000000000000000000010000000000000000010010000000000000101000000000100110000001000000100000000000000000000000000100001000000000000000000000100000000100000000000010000000010000000010100000010000000000010000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000010000010000000100000001000000001110000001000001000000000000010000000110000000000001000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000110100000000000000000000000000000000000000000000010000000010000000001001000000000000100000000000000000000000010000000000000100001001000000001001010000000000000000000000010000000110000100000001000000000001000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000011100110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100010010010000000000100000010000000000000000000000000010000000001001000000000000001000010000100000000000001100000000000010000010000000000000010000000100000001000000000000000000001000000011000000000000000000001000000000000000000000100010000010000110000000000000001000010000100000000000001000000000000001000000000000011000000000001000000000000000000001000000000000000100000000100001000000000000100000000000000000000000000000100100010100000000000000000000000000000000000000001000000010000100010000000000000110010000100000000000000010000010000000000010010000000000001000001100000000000000000000000000001000110000000000000000000000100000000010000000000000100000000000010000000000010000001010000000000010000000000000000000000000000001000000001000000010000000000000000000001000100000010000000001000000000000000001001000100000000000000000001000010000000000000000010000000000000000001100000000000000010000000000000100000000000000100000000001000000000000001000001010000100000101010100000000100000000000000000000000000000000100000000001000010000000100000000000000000000000000000000001010000010000100000000000000100000010010000000000000000000000001000010000110000000100000000010000000000000000000000000000000000010000110000000010000000010001000000100000000000000011000000000000000000001010000100000000000000000000000000010000010000110000100100100010000100100010010000000000000000010000010100001000000100001100011001000000000000000000000000100001000000001010000000000000000000000000000000000000000000000000000000000010010000000000000000100110000001010000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000010001010000000000000000100000000000000000000010000000000000000001010000000000110000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001010010000000000010000000000000000000000010010000000001000001010000001000000000000001000000000000000010000000000000000001010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010011111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000011111111111111110000100000010000111110001111101000001000001011111111000000000000100000010000011010011011010000001000000000000000000000000000000000010000101111110110110000001000000000000000000000000000000000010000001101111111111100001000000001011000111110100000100000000100111111111111111100100000001001111111111111110000100000010000011111110101011000001000000011111111111111100000100000010000011111111111111100100000000001010111010101010000100000010000111110111101111100001000000011111111101111110000100000000100111000011111010100001000001000000000111111110000100000010000111011001111101000001000000000010111001101100000100000010000111110011111011000001000000000000000010010100000100000010000111111110010101100001000000011111111001100000000100000010000101000000000001100001000001011111111010101110000100000010000000000000111011100001000000011111100111100000000100000010000000011110000111100000000000000000000111111000000100000000001001111111111110010000000000011111110111111001000000000000001001111111111110010000000001000000000111111001000000000000001001111111111111110000000001011101111101011110000100000000100100001111101011100100000000011110011110100100010000000000100010110101000111100100000000001110000000001010000100000000100000011111011011100100000000011101100111100000010000000010000000000001111000000100000001000000000110110000010000000000100111111111110001000100000000000000000110000110010000000000100011101001111111100001000000011111111111111110000100000000100000011111111000000100000000011111111011111110000100000000100000011111111000000100000001000000000000000000000000000000000000000000000000000000000000000000000011010010000100000010001101101011010110110001000000011101100000000001000100000010001111100111100111110001000001000110000000000001000100000010000010100111111111100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001001111111100111110001000000011111010000000001000100000010001111100111100111110001000001000001111000000001000100000010001111100111100111110001000000001101100000000001000100000010000110001011111110000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000001111111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000110011111111100000100000010000111111001111000000001000000001011111000000000000100000010000100101100001111100001000000000000000000000000000000000010000111111110011001100001000000000000000000000000000000000010000001101111111100000001000000001000000111110100000100000010000111111111111111100001000000000111111111111100000100000010000011101110110011000001000000000110111111111110000100000010000001111111111111100001000000001010101010101110000100000010000111110111101111100001000000010101111111111110000100000010000111111111100000000001000000000000000111111010000100000010000111111001111101000001000000000101011001100110000100000010000111100111111001100001000000000000000000010100000100000010000111110110010101100001000000010001111011101010000100000010000111011000101011100001000000000111111111111110000100000010000000000001111111100001000000011111111111100000000100000010000000011110010111100001000000000000000111110000000100000000001001111111111110010000000000011111111111111001000000000000001001111111111110010001000000000000000111111001000000000010001001111111111111110001000000000000000111111110000100000010100111111111101011100101000000011111111000000000010100000010100111111110000111100101000000011111111110011010000100000010100000011111011011100101000000011111111111100000010100000010000000000001111000000101000000000000000011100100010100000010100011101110010111000101000000000000000101010100010100000010100011101001111101000001000000011111101101111110000100000010100000011111111000000101000000011111011111111110000100000010100000011111111000000101000000000000000000000000000000000000000000000000000000000000000000000000000100101100000100000010001101101011010110110001000000000010011000000001000100000010001001111000011110010001000000011111100000000001000100000010000101000111110001000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001001111110011110010001000000011111111000000001000100000010001001111000011110010001000000000110011000000001000100000010001001111000011110010001000000000110011000000001000100000010000110001011111101000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110101100110111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111000010000000010101101111110110001000000001000011111111101010100010000000000000000111111100001000000001000010111011010100100010000000000000000000000000000000000001000000000001011101100010000000000000000000000000000000000001000000000001111110100010000000011110111111011110001000000000000001100111111000000000000000001011001111111000001000000001000110000111100100000010000000001111111101100010001000000001000001111111110111100000000000011110101111101110001000000001000001100111011111100010000000001111111111110100001000000000000111110111111111100010000000000000000000000000001000000001000001111111110110000010000000011111111111111110001000000001000111100011110111000010000000000000000111111100001000000001000111111111111111100010000000010101110100010100001000000001000111101110101011100010000000011110101111101110001000000001000111011000000000100010000000000001111111100000001000000001000000011111101110000010000000000000000111100000001000000000001001111111111110010000000000001011111111111001000000000000001001111111111110010000000001000000000111111001001000000001001001111111010110110010000000000000000000000000001000000001000111111111111111100010000000011111111111111110001000000001000111111111111111100010000000001111111111111110001000000001000000011111111111000010000001011011111000000000001000000001000111101111110111100010000000000000000111110100001000000001000000011111000010000010000000000000000011101000001000000001000001000011111000000010000000011111010111111110001000000001000000011111111000000010000001000000000111111110001000000001000000011111111000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001001111111111010110110010000000000010001111111111001000000001001001111000011110010010000000001010101111111111001000000001000100100001000110100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001111111111110010010000000001101001111111111001000000001001111111110011110010010000000000001111001111111001000000001001001111000011110010010000000001011111111111111001000000001000100100001111101000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001101110011111111111111111111111111111111111111111111111111 +00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110000000000001011100001111111110000000000000000111111111111010100000000000000000000000000010000000000000000111111111010101000000000000000000000000000000000000000000000000000000011001100000000000000000000000000000000000000000000000000001111110100000000000011111111111111110000000000000000111111110000110000000000000001010101111111110000000000000000100101101111111100000000000011111111010011100000000000000000011111111111111100000000001001110101111100000000000000000000001101111011111100000000000011111111111111110000000000000000111111111101111100000000000000000000000000000000000000000000011101111111110000000000000011111110000101010000000000000000111100011110111000000000000000000000111111100000000000000000011100000101111100000000000000001100100110110000000000000000111111110000001100000000000000001000111111110000000000000000101000001111111100000000000010111111111100000000000000000000000011111110111000000000000000000000111100000000000000000001001111111111110010000000000001011111111111001000000000000001001111111111110010000000000000000000111111001000000000000001001111111010110110000000000000000000000000000000000000000000101010101010011000000000000011101111011111110000000000000000011001011010010100000000000011111111111100000000000000000000000011111111111000000000000011011111000000000000000000000000000000001111111100000000000000000000111111110000000000000000000011111011011100000000000000000000101110000000000000000000111011011111111100000000000011111010111111010000000000000000000011111111000000000000000000000000011111110000000000000000000011111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111010110110000000000010111011111111111000000000000001111100111100111110000000000011111111111111111000000000000000100111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001111111111110010000000000010010110111111111000000000000001111111111100111110000000000001010101001111111000000000000001111100111100111110000000000001011111111111111000000000000000100111111110111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001100000000100001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100110010101100000000001100011000000000001100011000000000000001100000000000000000001100011000000000000000000000000000000101100000001001100011001100011011111011000000000000000011100101101000111000000000000000000001100011001100011000000001100001001100011000000000000000000000000001101100011000000011100111001110111100000000011000001100000001101100110000000010010010111000011001000100000000110000000010001100001000100011110111101110101100000001101100011100000000001100011001111011110111111001111100110000000000000100000111101100010100011001000011111100001000110000001110010000000001001100011000000001110011101110101100000010100000001100000000000000000001100001100011001100010000000001000000000000000000011111011001111001110010001000111010110000000000000000000000001100011000011000000000011001110000110000010001000000000000000000111100000000000000000110000000000000000000000000000000000000000000000011001000000000001100110000000000000000000000000000000000000011110111100110111111000001100110000000000001111000011000000010010101001100011101100011101110011101100011000000001000000011000111001000110000000000000000111100000000001110110000000000000000001000000000110011000000000001100101000000000000000010100101000010000100110000000000000001100011001110011000011001010000000100110111111011011111011000110000000000000001100011110101111110111111000000010100011011110011011100011000011001000010101100111011000000001110011000000000001100011000011001100001101000000100000011011110111100000000000110000001111000110101110000101100000000000110000001100011001100011001111000010010101110011011110000001100011011100011001100011001111011100111101110001001100011001100011001100011001100011001111001110111001100011100000000000000000001100001001100011000000000110000111001100000000000000000000010001110000000000000000011010110111010110000000000000000000000000000100000000000000011110111111110110000000000000000000000000000001100011000000010001000000000100000110000011111011011101011000110000000000000000001100000110000110000000110000000000000000000000000000010110011100110111000000000000000000000100011000000000000000000110101111000001100000000000000000000000000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110100010011110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000100000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000010010000000001000000000000000000010100101000100101000000010100100010100101000000000000000000000000100110100101000000010010101010101100000000000001100100100000100110100000000000000010010001000000000000000000000001000000001010100100000000011000000100001100000000100100001000000000000000000000000001011000000100100110000000000000000000100000000100000000100001000100011011000100000000000000000000000000000010100101000000000000010000001000000000011000000010000000000000000000000000000000000000000000000000000000000000000000000000000000001110010100001000100101001000000000000000000000000010100101000100000000000000100000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000001000000100000000101100010000000000000000100101100000000000001000100000000100100000010000000010000100100000000100000000001000000000000001000000000000000001100000000000000000000000000000000000100000000000000000000000000000000000000000000000010010100000000010000000000000000000000000000000000000001001000000000000001001000000000000000000000000000000000000000000000010010000010010001100000001000000001100101011100101001001000000000100000000001100000010000000000000000000000000010000000000010000000010000000101001100100100000000000000000011000010000000101000000100000000000000000010100101000000000010010000000000100100100001000000000000000001000000000000000011010001000000100100100000000000000000000000000000000000000010010000100100010100001100000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000001000011001000000000000000000000000000000010000000000000000001000000101000000000000000000000000000000000000000000000000000000000000000000010000000010000000010000000010000000000000000000010000000000010000000010000000000000000000000000000000000011101000000100000000000000000000000000000000000000000000000000000100010010000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001111101110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001001000101000000000000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000111000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100010000000000000000000000000000000000010000000001000000000000000000000000100000000100000000000000000010000000100000110000000100000000000000100000000000000000000010010001000001000000000101000000000000000000000100100000000000000000000100000000000001000000001000000000000000000000000000000001000100001000001000000000000000000000000000000000000000010000000100000000000000000100000000000000000000000111000000010001000000000000010000100000000000000000000000000000000001000000000000000000010001000000000000000000000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000010001110010000100100000000001000000000000000000000100000000010000000100000000000000000001000000000000000000000000000000000000000100000001000000000000000101000000000010000000100000000000000000000000000111000000000000000000110000000000000000000000001001000000001000000000000000000000100001000100000000010000000000000000101001000001001000001000000000000000000001001000101001000101000000000000000100001000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000000000000000000000000100010000000000010000000000001010000000100000000100000000000110000000000000000000000000100010000000010000000010000000000000000000000000000000000000100000000000110000000000000000000000000000000000000000000000100010000000100000000000000000000000000000000000000000000000000010000000000000010000000000000000000000000000100000000000000001000001001000000100000000000000000000000000000000000000000010000100110000000100000000000000000000000000000000100000000000000000000000010000100000000101000000001000000100000000000000000000000000001000100000000100000000000000000000000000000010000000001000001000000000000000000000000000000000000000000001000101000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100001110110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000100000000010001000100000000000000000000000000000000001100011000000000000000000000000000000001000000001001100011001100011000010100000000000000000000101001001000001000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000000000000000000000000000000010000000000000010000001011001000000000000000000000000000000000000000001000011001100000000000000001100010000000000001100011001000001000001100000010010000000000000000000000010001100010001000000000000000001000010000000010001000100000001000000000000000010001000100101001000000000000000100000000000000000000000100000101000110001000100000000000000000000000000000010100000000000000000000000000010000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000011000000000000000010000000000000000000000000000000000000000000000000000001000000000001000000000000000000000000000000000000000000010001000010000000100000100010000000000000000000000000100000000000000011000000101100110011000110010000000100000000000000001000011001000000000000000000000000000000000001100010000000000000000000000000000000100000000000010001000000000000000000000000000000000000000100000000000000010001000100101000100000000001000010000000000110100000110100000100000000000000000100010001000010001000100000000010000000110000000000000000000000000001000100101001100000000001000011000000000001100011000010011000001001000000000000000000100010000000000010000000000001000000001000000001000000000000000000000000000001100011000010000000000100100010001100000001100011001100011001100011000000001100011000100000001100011001100011001100011001100011000010000100010000000001000000000000000000001100001001100011000000000100000000000000000000000000000000000000010000000000000000001000000001000010000000000000000000000000000000000000000000010001000110001000100000000000000000000000000010001000100000000000000000000000000000000000010100000010100000000000000000000000001000000010000000000000000000000000000000000000000000000100000000100010000000000000000000000100011000000000000000010000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110000111010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000000000000000000000011000000000100000000000001000000010000000000000000000010000010000000110001000000000001000000110000000011100000110000000000000000001100000000000000000000100000000000000101100001001011001110110100000000000000000000100000000000100100111010001011000000001000000000000000000000100000000001000100111001001011011110101100000000000000000000110000000001000011000000000111000000111100011000000001000000110010110001100001110011000011100000010100000000000000000000100000000011100011000011000001111000111100000000000000000000100000000000100000110010000001111111000000000000000000000000010000000001000000000000000000001100001000000000000000000000110000000101100000000100001111101000011000000000000000000000100000000100100011110111100010100000000000000000000000000000000000000010000000001011000110000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000001111000000000000000000000000000100000000000000000110110000011000000000000011000000001000000110000000000000011110000000100011100011000010000000000000000110000000001000011110010000011010001001101100000000001000000110000111000000011110110000011101110000000011000000000000000100000000000000001100000000011000100000100010000000001000000010011110000000001000001000000010001000100100000000001000000100001110000000000000001000010000000000000010000000001000000110001110100100100110001000011010011001000110000000000000000110000000111100001001111000011011100000000110000000001000000110001110001100001100010000011011101011000110000000001000000110001110000000000000000000011000100010000110000000001000000110001110001000011000010000011001000000000110000000000000000100000000000000001100000000011000000001000000000000000000000000000000101100000000001000001011000000000000000000000000000000000000011100000000000001011111000110000000000000000000000100000000100100011000000000011000000000000000000000001000000110000000000000111100010000001000010000000000000000000000000000000000000000000111011000111100000101100000000000000000000100000000101100011110011000001101110110000000000000000000000000000000101100001000011000011000110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000000000000000100000000000000000000000000001000000001000000000000000000000110000000100010100000000000000000000100100000000000000000000010000000000000000100000000001000101000000000000000000000000010000000000000100000000000001000000100000000000000000000000010000000000100100000000000001000110110000000000000000000000110000000000010000000000000000000000010000001000000000000000110010000000101001000100110010000000000100000000000000000000010000000100000000000000110000000010010000000000000000000000010000000000000010000000010000000100000000000000000000000000100000000000000000000000000000000000000000000000000000000000110000000010010000001000000101000000000000000000000000000000010000000000000100000000000110000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000100001000000000000000000000000000010000000000000010001000000000000000000000100000000000000000110000000000001000000000000000001100000000000000000000000000110000000000101000000000100010100000110000000000000000000000110010100000000010101000000100010000000010000000000000000000000000000000000000000000000000010000010000000000000000000000000000010000000000100000000000000000010000000000000000000000000000110000000000000000000001000000000000000000000000000000000010000001000010000000000010100000000000000000000000000000000000000100010000001000000000001000000000000000000000000000000001000000000001010000000010110100000000000000000000000000000001000000000000000000000010100000000000000000000000000000000001000000000000100000000010100000000000000000000000000000010000000000000001010000000010100000100000000000000000000000000000000000100000000100000000000110000000000000000000000000000000000000000000000000001000000110000000000000000000000000010000000010000000100000000000000000000000000000000000000000110000000000000000100000000000100000000000000000000000000000000000000000001000010010110010100000000100000000000000000000010000000010001000110000010000100100001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111011110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000001000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000100000000001000001000000000000000000000000000000000000001000000000010100000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100100000000000000000001000000000000000000000000000000000000000010000010000010000000000000000000000000000000000000100000000000000000000000000100000000000000000000000000000000010000000000000000010000000000000000000000000000000000000000001010100100000000000000000000000000000000000000000000000000000000000001010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000000000000100000000000000000000010010000000000000000000010000000000001010000011000010000000000000000000000000010000000000000000000010000000000100000000000000000000000100000000000001000000000000000000000000000000000000000000000100010000000001000000000000000000000000000000000000000000000100010000000001001000000000000000000010000100000000000000000000010000000000010000000000100000000100000000000000000000000100010000000001100000000001000000000000000000000000000000000100010000000001000000000000000000000000000000000000000000000100010000000001100000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000100001000000000000100000000000000000000000000000000000000000000000100000000000010000000000000000000000000000000000000000000000000001000100000000101000000000000000000000000000000101000001001000000000010000010000000000000000000000000000000110010000001000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010101011101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001000000100000000000000000000000000000000000000000000000000000000000001000000000010000000100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000001000000000000100000000000000000000000000000000000000001000000000010000000000000101000000000010000000000000000000100000100000001000000000010000000000000000000000000000000000100000000001001000001000001100000000000000000000000000000000100000000000001000010000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000001001100000000000000000000000000000000000001000101000000000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000100000100010000000000000001000000000010000000000000000000001000100000000000000100011001000000000000000000000000000000000010100000000000000000000000000000000010000000000000000000000000000000000001000001000000010000000000000000000000000000001000000000010000000000000000010000000000000000010000000000000000000001000000000000000000100000000000000000000000000000000000000001000000000000000000010000000000000000010000100000000000000001000000000000000000110000000000000000010000000001000000010110010000010001000000110000000000000000010000100000100000000000000000000000011000110000000000000000010000100000000000000000000000010000000100110000000000000000010000100000000000000000000000000001000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000001000000000000000000100000010000000000000000000000000000000000000000000000010000000000000000000000000010000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000010000010000101010000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110001000111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100010000000000000000100111100000001111011011000000001111001110111100000001111100100001110010000011011111011101000000001110111101100010111111110010100010001001011011000100000110001110111100010000000001100100100000000110011011000100000110001000011110010010111000000101100010000010011011000100101011001010011000010000011011000111100010000101011011100000001110000000111100000010111000000011100000001110011000000000000110001110011000100001100000000101101011001110000011000000000111001010111100100001110000000101100000011111011011000110000111001000111100000000010001000101000100001110001011110100000100001101011100000000111001000011101110001111011111000100000110001000101110000001110001000101100110000111011111100010001111001100111110010000100000100001100000000011011011000110000111000100111100000001110000000001110010000101000000000100001111000000111100000001111000000111111011001101000000001101000000001000111100000000010000000011000000000000000000000000000000001100000000000000011000000001010110000000000000010010000000000100101100100000000111100000000000000000011000000000000111000000011100010001110100100111100000000011011111011110000010011010011000000001111111100100111001000000000000000000000000000000000000000000000001100111100000000000011010001110001010111100111100000001000011100101111111001101000000000000010011101100011000010000010001100000000000001110000011000100101111001100111100100000000101100100100010000000011011000000001111000100001000000000100000101111100110001111011000010110101111111100101100100000000001010111100000000111000011000000001100001110101100000000000001100000001110000000000010000110000000001110101000000000000001110000000110000000000000000000000000000000000000000000000001010000000000000000001111000000000101000000111100000000110000000101100110101110000000000000001111000000110000000001110100100011100000001100000000001101100000001000000000000010011000000001000100000000010111000000001101111100011100000001100000100111100000001011011011000000000111000000000100000000110000000001100100000010011111000100000110001010001100100001111001110001100010011011010000000000000110000000001100100001100001010001100100000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011001011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000010000010000000000010000000010000100000000000100000001010010000101000000010000000000000000000001000000000000000000000010000010010001001000010010000010000010000000101100010000000000000111001000000000000000010000000000000000000100000000000000000000011000001100000000001000000000000000000100000100000000000000010000001000101000010011000010000010100000000000000000000100000000100010000000001010000000000000110000000000000010001000000000000000000000001001000000001000000100000001100000000100000000000000000000000000000001000000100101000001000000000101000000000001000000000000000000000000000100000000000000001000100000001000000000000010001001000001100000000000010100000001000000000001000000000100000001101000000001000010000000001010000000000000000000001000000010000000000100000100100000000001010000000000000000000000000001000000000000000100000000001000000000001100000000100100000001000000000000000000000000000000010000000001000000001010000000000000000000000000000000000000000000100000000000000000000000000000000001000000000010000000000000000000011000000000000000000000110000000100010000001000001000000101010001010000000001000001000000001000000001001001000000001000001000000100000000000000000000000000000000000000000000000000100100000010000000000001101000001000000000010000010000000000011000010000000001000000000000000001000000001000000000000000100100000000000000010011000000000010010010001010000000000000000100000000000000000000000000000010000000000000000000000000010000000011010100000000101001000100101000010000000000000000000000000010000100100010000000000000000010000010000000000000010000000001001000000000000001010000000010000000000000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000000000000000001000000000001000000000000100000000000000010000000000000000000010000000000000000000000000000000000000000000001000000000001000000000000000000000000010000000011010000000000000000001000000000100000000000000000100000000000000000000000101000000000000000000000000000000000000000000000000000000001001010000000000000001000000100000000000000000000001000010000010000000010000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001011001110001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000110000000000000000000000010000000001000000100000000000010000000000000000000100010001000001001000000000100001000000000000000001000000000000000100000000000001000000000000000010000000010001000000000000000010001000000010000000100100000010000100000000001000000000000000011000000000001001010000000000100000000000000000000000000000001000000010000010000000000001000000000011100000000000000000000000000010100000000000000000000000000000010000000100000000000000000000100000000000000000001000000100000000110100000001100000000001000001000000000100001100000001000000000000100000000010000010010001000001000000000000010000000000000000000000000000001001000000000000000100001000000100001000000010000000100010000000000000100000000000101000001100000100000000000000000000000000001000100000000100000000000000000000010101000000000001001010000000000010000001000000000110000000001001000001000001001001000000000000000000000100000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011000000000000000001000000000000000000100000000000010000000000000000000000000000000000000000000000100000000010010000100000000000000000100001000000001000000000000000000010110000100001000000000000000000000000000000000000000000000000000000000100000000000000000000000000010000110110000000001000000001100001001101000000000000000000000000110000100001000001000000000000000001000000000000000001000100001001010000000000010000001000010000000000100000000001000000000000000000000000000000000000000010100000000001000001000000111100000000100000000000001000000000000000000000000000010000001000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000010000000000000000000000110000000010000000000000000001001000000000000000001000000000000000000000010010000000000000001000000000000000000000000000000000000000000000000000000000100000000100000000011000000110000100000001000000000001000000001000000100000000000000000000000000000000000000000000110000000001000100010000000000000000000010000000010000001010000001000010000000000000010001000000010000000000010000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111001110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000000000000000010010000001010001100000000000100000000100010000010001000101000000000000100000110001000000000000000010000001100000000000001000010000000000010000000000100000000000000000000000010000000000000000100000100001000000100000000001100000000000000000000000010000000000010000000010000000000000100010000100000000000100000000000000000000000001010000000000000000000000000001000000000010000000000000000100100000000000100000000001000000100010000000001000010000000000000000001010000000000100000001000000000001000000010000000001000000001000000000000000000000010010000010000000000001000000001000010000000000100010010000001101000001010000100000000000000000000001000000100000000010010000010000000000100000000000001000110000000100100000001001000000000000000000110000100000010000100100010000000000000001010000000000000000000000000001010000000010000000000000000000000010000001000000000001000000000000000100110000000000000000000000000000000000000000000000000000010000000000000100000000000100010000000000000000000000000000000001011000000000010000000000000000000000000000000010000000001000000000000000000000010000000000100000100001010000000000000000000000001100000100000000000000000000000000000000000000000000000000000000000000100010000000000000000001100010000000010000100000000000010000000000000001000000000000000000000010000000000000000000000000000000000000100000000000000010000000000000000000000000000011000000000000000000100000000011000001000010000000000001000000001010000000010000000000000000000000011000000000000000000000001100000000010001000000000000001000000000010000000000010000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000001000000010000000000100000000100010000001000000000000000001010000000000010000100100001001000100000001000000000000000000000000010000000000000000000000000000000000000000010000000000000000010000000000001000000100011000000000010000100000000100010000001000000000000000000001000000000000000000101000000110000000001010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001100000000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110011111000000100100000000000000000000000000000000001111011101000010000011001111111100010001100000001111001101001110000110000010001111011100111110010000100100000101100110001010001111011011001011000100000000000000111010100101101111101111001111011011001110000000011100000001110000100100110010001111000000011110001110000000111111011000010000000111100000001010001001011110000011001110111100100001111101100111100000000010001011000110000011001100111110010000000101100001110010001110001111001111011110001010101100000000010001010001100000001100001101000110000111111000100100000001110000000011100110001111000100000010001111001111011000110001110000100101100110000110000000000000000111011000011100000000100100101111100100000100000010001100011111011000111100100001111000000101110010011111010010001101001111000000000000000001111010000100101111000011000000001111001111010000101110000000011011000000011010001111001011001001001111010000111110000000000010000000000000000000000010010110000000010000000000100000000000100000000000000000000100010000000000000100011110010000000001000000001011000000000000001101001111111100111100100001110000000111100000001110000000001101100011000000000000000000011000000111100110001110001001010110000000000000000000000000000000000000000110100000011110000000000001010000111100100001100001001111111001001110001010011110000000100100001100000001110000000000000110000000000110000010000010000000101100000000111000100111100010001100000000011110000000010100101000100001111000100000000000001111001100000010001011111100011000000000010000100100100010001011000110000010000010001100101100100000000000001101100110010010000110000110000000001111101100100000000000000000001110000010000000010100000000000000000000000000000000000000000110000000000000000100000111001001001110010000110001000111100100000101000000000100001001001101011100000000010000000000000000001111000100010010000000000100000000000000000011000000000000000000011110000000001011111100101000000000011000000101111111001010000010000000000110000000101100000000110000100111110010000110000110000110001001001001111100000011111000000110100100001101000000000100010111000000001100000001110000000111100000000110000100001101100000010000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011100111111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000100000000000000000001000010000100000000000010000000100000000000000001010000000001000000010101100000000000000000011000000000001001000000000010000010000000000000100000001000001000000001010001000001000000000000000100000000000001010000000000000001001000000000001000010000000110000100000000000000000010000000001000000000001100001010000110000000001100000000100100000000000000000001010001000100000100000000000000000000000000000000000000000001010000010000000000000000000000000000010000000000000000000000000100000000010000000000000000000000000010010100000000010000000001000010001000000000000010000100000000000000001000000000000010010000010000010000000100000000000010010000000000000000001001100001001000000000010000000000000000000001000000000000000010010000000000000000001100000010010000000100000000000001000001000001000000000000001000001000000000101100000000000000000100000001000000010000000000001000000000000000000000000000000000000001000000000000000000000000000000000000000000010000000000000010000100000000000000100000000000000000000000000000010100100000010000010010000001000000010010000000101000000000000010000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000100000000000001000000001000001000010010010000100000000000010000000010000001000001000000000100010000000000001000000000000000000000001000101000000000000000001000000010000000100001010000000000000000000001000000011000000000000100000000000000000000100000000001000000001000000010000010000000000000000000101000001000000010000000000000010000000000010000000000000000001010000000001010001000000000010000000100010000000000000000001001000000000000000010000000000000000000000000000000000000001010000000000000000000001000000000010000000000000000000000010010100000000000000010100000010000100000000000000000000000000000100000000000000100000000000000000000000000000101000000000000000000000001000000001000101000000000000100000000000000000000000000000000000000000101000000001000000000101000000000010000000000001010001000001000000001000000000001000000001000000000001000000000000010001000000000000000000000011000000000010000000000000000000000000000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100101001110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101110101000000010000000000000000000000000000000000001000001000100010000001000000100000010000100000000000010000010010000000000010001001000000100001000010000010000000000000001000000000100110000011000000000000000000000000000001000000001000000000101000010101000000000100000001000000000000001000001000000000000101101001000000001001000000001000000011000000000100000000000101100000000000000000000001001000110100000000000000000000000000000001000000011001001000000000110000100100001001000000000000001000000000001000000000000000000000100000011000000000010000000000010000000000000010010000000000000000001000000000000000000100000000000000001100011000001100010001000000000000000000000001000000010000000000000010000001000000000000000001000000100010001001001000000101001000000100001000000100001001000000100010000000000000000001000000000100010001000001000000000000110010000001110000100000000001000000001000001000000010000000001000000000000001000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000100000000000000000101000000000000000000000000000000000000000000000010000110100000000000100000000100000000000010000000000000000001000000000000000000000000000000100010000011000000010101000000000000000000000000000000000000000000000000000101100000000000000000100000000000010000000000001000001000000000000101100000010000000100000000100000000000000010000000000000000000000001000000011000000010001000000000000000000100000000000101100000000000000110000001000000000000000000001000000000100001000010000110000000000000000000000100000000000010000000000000000001000000000100000000000000000000000000000000000000000000100000000000100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000001000000000010000000000000000000000000000001000000000101000000000000010000000000000000000000000000000000000000101100000001000000110000100000000000000000000101010001000000000000000000000000000000000000000000000010000001010010000000000010000000010100000100000000001000000000001000000001000000000000000010000000000010100000000010000000000000000010001000000100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101001000001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000001010000000000000000000000001000000000000000000000000010000000100000000000000100000000110000000010000000000000000010011000000000000000000000000000000000000000100010000101000000010000100000000000000000000000000001000000000001000000000000010001000000000000000000000000000010000000000000000000000100000000000000000000000000000000000000111000000000000010010000000010000000010000000000000000100000100000000000000010001000100001000001100000000000000000000011000000000100000000000000000000000001000000100110000010000100010000000100000000001100100000011000000000010000101000000000000000000000000001000000000000001000000000000000000000010000100000000000001001000000000000001000000000000000000000001000000000100000000000110000010000001000000000000000010000000000000000010000000000000000000000000000000000000000100000000000000000010000000000000000000001010000000000000001010000000100110000000000000000000000000000000000100000000000000000000000000001000000000100000000000000000000000000000000000000001000000010000000000000000000100000000000000000000010000000010001100000100000000000000100000000000000000000000000000000000000000000000100000000001010001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010001010000010000000010000000000000000000000000000100000000000000001000000000000000000000000000000000000000000000000000000000000100000001000000000000000000000000000010000001010000100000000000001010000000000000010000000010000100000010000001000000000000010000000100000100000000010000001010000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000000000000000000000000000000000000000000000000000000000000000001000000100010000000000000000100010000000100000000001000000000000000000010000000000000000100000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000100000000000010000000010000000000000001000100000010000000000000000000100010000000000000000000100000000100000000000000000000000000100000000100000000000001000001001000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000011111100110000000000011000000000010010001111000000000110001111000000011100000000110100000101000000000100000000010110001110011100111100010000111001110110100000001111000000000000000000111100111100000001111011100111101100101111000000000010001110101100101101111001110000100111110110001101000000000000001000101100111100000000011000000111100100001110000000000110001100101100110100000001111000000111100000001111000000000110000110101110011000010001110100100001111110000110000000000000001111001100111100100001110000000111101100000110000000001100010011001000111101101000111001100101100010000100000000000010010010001100110100100001111001000111100110010111000000000000001111001000111100010001111011000010010110000101000000100010000011011100011000000000111011000111101111100111000000000000001101000000111100110001111100000101100000001110000000010010000000100100100010010000000111000010000000011111000000000000000000100100011001101000000000000000000000000001000000000100000000111100011000100000000101100000000000000010000000000110100000001100100100110000000001010000000110100000000000010010001111001110111100000000111000000111100000001110000000000000001111011010100100110001111100100100100000001100000000000010000000111110011100010000000000000000010010001111000000000000001111000000011101110101101010100111000010001110000000000000000011011100111100010010011000101111100000000111000000010010000111001101101101111100100000000011000110001111000000000100000011011100011100110000010000000000000000000111000000011110000100101110111100100000010110000111100100000000000000000010001011101101101100110000100001010111100000001111000000010110001111001100101000110000000001110000000000000000000000000000000000001101111000000000000000000000000000000000000000000000001111000100011100000000011000100111100010001110000000000010000100000001011100000000000000000000000000001110000000000000000000100100001000100000000000000000000000000011000000011110001000011000000100000001111000000111100000001110000000000000000100001000001100100000110000000001100100000000000000000100000111001110101100100001111000000100001110000110000000000000001101000001111000000011011001010101000100000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111011111100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000010000010000100000000000000001000001100000000001010001000000001000000000000001000000001000000000100000000000000000001010010110001000100000010001010000000100100000000000000000000000010101000000001000011001000000001010001000000000000000000000000000000010000000000001010001010010100000000000000000000000000101000000010000000000000010000000000000000001010000000000000100000000100101000001001000000010011000000000010000001010001000001000000000000000010000001000000000000000000010000010001000000000000010000001010000001000000000000000001010000000000000010000100000000000001001000000000000000000000000000000001000000000010000100001000000000001000000000000000010000000000010010000001101000010100000000010000000000100000100000000010001010000010100101000100000000100000000000000000001000000000000010000100010000000001000000000101000000000000000000000000000000000000000001001000000000001100000000000000000000000101000000010000000000000000000000010000000000000000000000000011000000000000000000000000000000000001000000001000000000000000010001010000000000000000000000000000000000000000010000010000110000000001100000001000100000000100000000000000001000001000010000000100000000000000100000000000000000000000000000001000000010000000000000000000001000010000000000000000100100000000000010001100000001001001001000000100000000000000100000000010000010000001000000001000100000100100000000000000010010000000000000000000010000000000010000100000000000000010010000000010000011010000000000000000000000001000000000000001000000000000000010000000000001000001010010000000000000001000001001000000000001010000000000000000010000100000000000000010001001010000000001010000000010000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000001000000000101000000001000000000000010000000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000001000000101000000000000100000000000100000000000001000000000000000100000000011000000000000000000000000000000000000000000000010000010000000000000001001000000000000001000000000000000000001000000001000000000100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010101101010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000001000000100000000000000000101000000000100000000000010000000000000000000000000000101001000001000000100000000000000000000000000000100000000000000000000001100000000000010010000000110000000010010000000000001000100010001001000000001000000000100001000000010000000000000000100000110001000000000000000001000000000001000000000000000001000100110000000000000010000000011000000000100000000000001000000010000000000000001001010010000100101100000000000000000010100000000000000000100011000000100100000110000000000000000000000000000100010000000000100000000000000000000000000000000000000100010100000000010100000001000010001000000000000000000000100000000100000010000010000000000001000100000000000000000000000000000000000000000001000000100100000000000000000000000001000000000000010000000010010000100000000001000000000001000000000010000001001001000000001100000000000001000000000000000000000010001000000000000000000000000000000000000000000000000000000000111000000000000000110000000000000000000000000000000000000000010100000000000000000000000000001000000000000000101000011000000100100000000000000000000100000000011000000000000010010000000100000001101000010000100000000001000000000000001000000000110000000001000000000000000001000000011000000000000000010000000000000000001000000010000000000000010000000000000000000000010100000000000000000000100000000000001000000000101000001100010100000001000000000000000010001000100000000000000000000000010010000000000000000000000000000010001000000000101110000010000110100000100000010000000000000000000000000000000000100110010110000000000000000000000000000001000000000001001001000001000001100000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000010010000000010000000000000000010000000000001001000000000000010000000000000000000000000000000000000000001001000000000000000000000110000100000100000000000000000000000000000000000101101000000000000000000010010000001000000000001000000000000000000000000000000000000000001000000000100000000000000000010000010000000000100110000001000000000100000010110000000000000000001000000000100000000000010000000100110000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001111110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000010000000100000000000000000000100000000000000000001000000001000000000001000000000010000000000000000001000001000000000000010000100010000000000010000000010000000000000000000100000001010000000100000010010000000000000000000000000110000011001000000100001100000100000100000000000000000000000000000010000001000000000100000000100011000001100000000000000001000000001000010000000000000000000000000000000000000000000000001000000000100000001000000000000000000100100000000000000000100000010100110000000000000000000000000000100000000001000000000000011000100000100100100000001010000000001000000000010000000000001000000001000100000000100010000000001000000000000001010000010000100000000000010000000000100001000000000000010000010000110000000000000000000001000000100110000000000000000001000000000100110010010000000001000000000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000001000000000000100000000000000000000000000000000000010000000000000000000000000000000000000000000000000000100000000000000000000010000000000000010000000000000000000000000010000000000000000011000000100000000000001000000000000000000000000000001100000000000000000000000000100000000000000000000010000001000100010001000000000000010000000100000000000000000010000011000100010000000000100000000000000000000000000000000000000000001000000000000000000010000000001010000000000000010000000010000100000000100000000000000000000000000000000000000000001000000000000000100000000100010000000000000000000000000000000000000000000000001000000100110000001010000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000000100000000100110100001000000000000000000000000000001000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000100010000001000000000000000000000010000000001000100000000001000001000000000000000000000010000000000100000000001000000000000000000010000000000000000001000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000111011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000110011100000100000000000000000001111111100001000000000100000111100000000100000000000000011110100000100001000000001000100111100000000100000010000000010101111000100001000001001010111111110110000100000010000111111111111110100001000000001100000010110000000100000010000000011111111111100001000000001110101111001000000100000010000000110001111010100001000000000110000111110110000100000000100111111111010000000000000001011111111111111110010000000000100111111111111111100100000000011111111111111110000100000010000010111111111111100100000000011001101111111110000100000010000111111111100000100001000000011111111111110110000100000010000010100001111111100001000001011110011101110110000100000000100110011001101111100001000000011010010010101010000100000010000110011001110000000100000000011101011001010110000100000010000011101110000000000001000000000000000000000000000000000010000110111111110111000001000001000000000000000000000000000000000000000000111111100001000000000000000000000000000000000000000000000001101000000000000000000000000111100000000100000010000000011110000000000000000000011111111111111110000100000000000111100001111000000001000001011111110010101010000000000010000000000000000000000000000001000000000000000000000000000000000000000001111010100100000000011111111110010010010000000000100111111111010101000001000000000000000100111110010000000010000111111101011010000100000000001000100111100000010000000000100110001001111000000100000001000000000111111110000100000000100000011111101011100100000000001011111110110000010000000000100101001011111000000100000000011111110111100000010000000000100000011111111000000100000001011101110111100000010000000000100000011111111000000100000001000000000000000000000000000000000000000001111000000100000000001010110011111110000100000010001001111110011110010001000000000000000000000001000100000010000010001011101110100001000001000000000000000000000000000000000000000001111111100100000000011101100111001000010000000000100100100000000000000100000000000000000101000000000100000010000000101111001011000001000000001101001011111000000100000010000100011101100111100100000001000111011010101010000100000010000111101010110001100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001111001000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111110000100000000000000000000101111100001000000000101111111100000000100000010000000011110111110100001000000001001110111100000000100000010000000000001111111100001000000001010111011100110000100000010000111011101100110000001000000000010101111110000000100000010000111100001111110000001000000001010101110110000000100000010000010000001111111100001000000000001100011111110000100000010000111111111000000000001000000000001111111111110000100000010000111111111111111100001000000001111111111111100000100000010000010101011111111100001000000001001100111010100000100000010000010101011111000000001000000000110011111111110000100000010000111111110110001000001000000011110101111010100000100000000000111011010000111100001000000011010010111101010000100000010000110011101111010000001000000010000010001000000000100000010000011101110000000000001000000000000000000000000000000000010000111111111010111000001000000000000000000000000000000000000000000000001111111100001000000000000000000000000000000000000000000000000101000000001000000000000000111100000000100000010000000011110000000000001000000001111111111011110000100000010000111100001111000000001000000011111111010000100000000000010000000000000000000000001000000000000000000000000000000000000000000000000101111100101000000011011111111111110010100000010100010101011111111100001000000000000000110011110010100000010000111111111111111100101000000001010100111100000010100000010100111101011111000000101000000000000000111111100000100000010100000011111000001000101000000001011111011100100010100000010100001100111111000000101000000011111111111100000010100000010100000011111111000000101000000011101110111100000010100000010100000011111111000000101000000000000000000000000000000000000000000000001111000000101000000001010110100000000000100000010001001111111100111110001000000000000000000000001000100000010000010001010011111100001000000000000000000000000000000000000000000000001110001000101000000011101100101100010010100000010100100111110000000000101000000000000000000001010000100000010000100000010110100100001000000010010110111111000000100000010000000010001000101000100000000010111111110111010000100000010000111111100000011000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011001101000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000101111100010000000000110011001000100001000000000000000010101110001000010000001001010000111100000001000000001000101100010000111100010000000011111111111101110001000000001000010101011110111000010000000011001010111001000001000000001000001000010000111100010000000010000011011101110001000000001000101010101001100100010000000001010101001110110001000000000000100000111111111100010000000001010101111011100000000000000000101001011100110000000000000010101001111011000001000000001000111111111110111000000000000010111111111111110001000000001000000111111111000000010000000001011111111110000001000000001000111110001111111100010000000011000011011011110001000000000000000010101111111100010000000000010011000111110001000000001000000000110001010101000000000001001101111011100001000000001000101110110110011000010000000000000000000000000000000000001000000000001101000100010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000111100000001000000001000000011110000111100010000000011111111111111100001000000001000011111111111111000010000001010111011111111110001000000001000001100111111101100010000000000000000000000000000000000000000000000001111111100010000000011111111000111110001000000001000111111110111111100010000000000000000000000000001000000001000111111110101111100010000001000000000111111110001000000001000010001011010111100010000000000000000000000000001000000001000000011111111111000010000000001111111011100100001000000001000010101011111000000010000000000000000111111110001000000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000000000000000000000000000000000000000000000001111000000000000000000000000001111000001000000001001001111111111110010010000000000000000111111111001000000001000000000001111111100010000000000000000000000000000000000000000000000000000000000010000000010010110100101100001000000001000010110100101001100010000000000000000011010010001000000001000100101100111110100010000000011010111111110100001000000001000011010011111111100010000000000111100111110110001000000001000100110010110100100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001101011000100111111111111111111111111111111111111111111111111 +00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010100000000000000001111111011100000000000000000110011001110001000000000000010111011111100000000000000000000010101010000101100000000000001010111111111110000000000000000111111111100110000000000001011001010111001000000000000000000010001010101000000000000000011001000000100010000000000000000101111110010001000000000000011111111111110110000000000000000000001001111111000000000000001100110111011100000000000000000010110101111111100000000000001010110111111110000000000000000111111001110111000000000001011111111000101110000000000000000110111111111100000000000000011111111111111110000000000000000111101001111010100000000000000001111101011110000000000000000110011100101110100000000000000011111000000010000000000000000000101111001110100000000000011111111101011100000000000000000001000100101010100000000000000000000000000000000000000000000000000001110110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000011110000111100000000000011111011111111110000000000000000111111111111111100000000000011111111000000000000000000000000000000001111111100000000000000000000000000000000000000000000000000001010010100000000000011101111111011110000000000000000010101101111111100000000000000000000000000000000000000000000111101111010111100000000000000000000111110110000000000000000010101011111111100000000000000000000000000000000000000000000000011111111111100000000000011111111110110000000000000000000111111111111000000000000000000000000011111110000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000000000000000000000000000000000000000000000001111000000000000000000000000001111000000000000000001001111111111110010000000000000000000111111111000000000000000000000000111111100000000000000000000000000000000000000000000000000000000000000000000000010010110011010010000000000000000101001010101110000000000000000000000100101100000000000000000010110100001010000000000001000010100111110100000000000000000100101101111111100000000000000111100101111110000000000000000011001101001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000101101101100001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110111111110111100000000000000000000000000001100011000000011110111111110111100000000000000000001100011001100011000000000110000000000001100000000000000000001100011000000000000000000000000011000001100000000000000000000000000000000000000000001110001111110110100000000001100011011111011011111011000000010101101111110000000000010000000000000000001101100001101111000000100000000110000000110000000001000000000100000000000000010001010010111001100000001000000001000110000000000000000100000000000011000110100000000000000000000000110000000000000000010110110011111110100000000011111111000000000000000000000000000000000000000000011000000000000000000000000000000001100000011100001000100010000000000000000000001100101011111001001111011110010010100111111111111011111011010001000001100111000011000110111010000011000000000001000000011111011001100011001111010001111111110001100000110000000000000000000000000000000000011110001111110111100110000000000000000000011101100011000000000000100000000000000000001000110000000110001100000001100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000010001010000000000000000000000001100000001100000000001100010101011000000000000000000000000000000100011111000000001100010110111111000001100000110000000000001100011000000010001111000000000000000110000000001100000001111110001100110111100000000000111001000001111000000000000000000100001000100011000011011110011001100011110000000010000000011110111001100011000110000000000000000000000000000000000000000000000000000000000000011110111111110111101100011001000011011001011011001011000000001110011111101111000000000000000000010001001001100010000000011100111001100010100000000000000000001100010001100010000000000000100000000001111001000000000000000000000011101000000000011110111111110111100000000001110010000100000001100010000000000000001100000110000000000000000000000100000000000000000000011100001001000011000000000000000000001100011001100011000000000110000010000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000101011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000101000000100000000000000000000000000000000000000000001000000101000110000000000000000000000000000010100101000000000000000000000000100000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000010010010000100000000000000000000000000000000000000000000000000100010000000000001000000000000001000000000010001100000000000000000001000000001000000000000000010000000000000000000000000000000010000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000001000000000100001100000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000100000000010100010000001000000000000000000010100100000000000000001011100001011000001100000000000000000000000000010100100001001010000000000010100000000000000000000000000000010100101000001000000110001000000100000001000000000000000000000000000000000000010010000010010000000000000000000000000001110100101000000000000000000000000000000100000000000000000000100000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000100000000100000000000000010000101000000000000000000000000000010000001101100000000000000010000100010000100000000000000000000000000000000000011000000000000000000000000000000100000100101000100100000010000000000000100000100010001000000000000000010000100010000101010000001000000000000000101000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010001100010000000000000000000000000100000000100000000010100111010100100000000000000000000000000100010100001000000000010000000000010000000000000000000010100001010100001000000000000000000001100000100000000000000000000000010100000000000001000000101000000100000000000000000010000000000000000000000000000000100000001000000000000000000010000000000000000000000010110100000100101000000000000000000000000000010100101000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100001100000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100110000100100000000000000000000000000000000100000000010000100110000000100000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100001000000000000000000000111000000111000000000000000010010000000000000000000000000000000000000000000010010000000010000000010000000000100000100000000000000000000000000000000000001000100000000100000000000001000000000000000010000000000000000001010000000000000000000000000000100000000000000001000001001000001000000000000110000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000000001010000000000000100100110000100111000000000000000000000100000001000001000000000000000000000000000001001000000000000010001000000000101000000000000000100000000000000000000000000000000001000000001000001001000000000000000000000000000000000000000000000010000000000000000000001000000001000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000010001001000001000000000000001001000000000000000100000000000010010000000000000001001000000000000000000001000000001000000100000000000001000000000000000000000000000000000000000000000000000010000000000000000000000000000000000001001010000000000010000000000000000000000000000000000000000000000000000000000000000010000101001000101000000000000000100000001000000001000000000001000000000000001000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000010000100110000100100000000000100000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001011111101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000110001000100000000000000000000000000010001000100000010001000110001000100000000000000000001100011000000000000000000000000000000001000000000000000000001100011000000000000000000000000000010100000000000000000000000000000000000000000000000101001001000010000000000001100011000010100000010100000000000100001011000000000000000000000000000000001001100001000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000001010000000000000000000000000000000000001000000000000000000000000000000100000000000000010000000110000000000000000000010100100000000000000000000000000000000000000000001000000000000000000000000000000100000000011000000000000000000000000000000000000000000000010100000110000000000000000000000010110000010100000000000000000010000000000000011000000010000000000000001000010010100000000000001000000000010010001001000000000000000000000000000000000000000000001100001001100011010000000000000000000000100000000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000000000000000000100000000000000000010000001100001001000000000000000000010001000100000010000000000000000000000000000000100000000000001100000000100110000000000000010000000001001000000000000000000000000000000000000010001100001111000001100000000000000000001100001001100011001000000000000000000000000000000000000000000000000000000000000000000001000110000000101100011000001000100010000000010000000000000000000000000010000000000000000000000000000000000000000000001100011001100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000110001000100000000000101000100000000010001000100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101111001000000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000011000110000001000000010000011000010000000000100000000011101011110110001011011000001000000000010000000000100000000000000000110110001111100000000000011000100000000000000000000000000000000110000001100000001100000000000001000000110000000000000011111011000011100010011000000000000000000000110000000100100001101001001011001110010000000000000000000000000000000000100000000000000001100110001100000000000000000000010000000001100100110010000011111110101100000000000000000000000000000001000000000011000001110001000100000000000001000000000010110101100011110000001001100010100100000000000000000000000000000111100000000110010001100000000100000000000000000000110000000111100001001111100011101100011000000000000001000000100000110111100001100010000110010000111100000001101000000000110000000011000011000110000101101100011000000000000000000000000000000001000010000110000011010111111100000000000000000000110000000001000001000111000111100000110001110000000000000000000000000011000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000100110000001100000001000100000000000000000110000000011000101101111001001101100111000110000000000000000110000000000000011100110011001011100111100110000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001000000000011001000010000010000000000000000110000000001000000100000000011000100001000110000000000000000000000000000000000000000000000000000000000000000000001000000110000000001100011111001000011000000110100000000000000000000110000000100100000000000000111100110110100000000000000000000100000000111100001110110010011000010111100000000000000000000010000000000000000000000000110000000100100000000000001000000110000000000000001100000000111011000000000000000000000000000010000000000000000000010000000000000001100000000000000000000100000000000100001111001000001101100011000000000000000000000000000000000100001000011000000110010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110001001011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000010000000000000001001000000000000000000000001000010000000000010000000000001000001000000001000110100000000000000000000000010000000000001000001000001001000000000000100000100000000000000000000000000000001000010000000000010000000000000000000000110000000000000010000001000100000000001000000000000000000000110000000000000000000010000010000000000000000000000000000000000000000000000000000000001000010000000100000000000000000000100000000000010100000000010001000110000100000000000000000000000000000000010000000000010000000000010000000000000000000000000010000000000010000000001000000000000100000000000000000000000000000000000000000000000100000001000000000000000000000000110000000000000000000000000101010000000000000000000000000000010000110000000000000000000010001000101100000000100000000000110000000000000000001000001000110000000000000000000000000000000000000000000000001001000000000000110000000000000000000000110000000000100001001101000101000000001000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000001000000000000000000000000000000000000000000100000000101000001000010100100000000000000000000000000000000000000000000000000000011000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000100001000000000000000000000000000000000000000010000000010100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000010000000000000000001001000000000000000000000110000000000000000000000000100000001001000000000000000000000010000000110100100100000000001000000010000000000000000000000100000000000000000000000000000000001000000000000000000000000110000000000000000000000000010001000000000000000000000000000100000000000000000000001000000000000000100000000000000000000010000000000001001010010010000110100101000000000000000000000000000000000000001000010100100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001100011100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000100000000000000001000000000001110100000000000000000000000001000000000000000000000000000001110100000000000000000000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001001000010000000000000000000000000000000000000001000000000100000000001000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000001000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001010000000000000100000000000000000000000000000000000000000001000000001000000001000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000100000000100000000000101000001000000000000000000000000000000000000000000000000010000000001010001000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000100000000000000000000010000000000000000000000010000000000000001000000000000000000010000000000000000010010000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000100001000000000000100000000000000000000000000000010000000000000000100001000010000000001100000000000000000000010000000000000000000001000001000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101111000100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000001000000000000000000000000000010100011000100000000000000000000000000000100000000000000000000000001000100000000000000000000000000000000000000000000000000000000000100000010000000001000000000000010000000000000000000000010100010000001000000001000000000000000000000000000000100001100000000000000011000010000000000000000000000000000000000100000000000000000000000001000000000000000000000000000000000100000000000001000000100001000000000000000000000000000000000000000000110000001000000000000000000000010000000000000000000011001000000000000000000000000000000000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000001000010000001000100000000000010000000000000000000000100010000000000000000000000000010001000000000000000000000000000010100000000001000011000000000000000000000000000000000000000000000010000000100010000000000000000000000000000000000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000100000000000000000000000000100000000000000000010000000010000000000001100000000000010000110000000000000000010000000000000010000100000000001000011000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000010000000000000000010000000000000000000000000000010000000000110000000000000000000000000000000000000000000000000000000000000000000010000000000000000000100010100001000010000000000000000000000000000000000000000000100000000000000101000100000000000000000000000000000000000000000000100100000001000000001100000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000100010000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000101010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000000000000111000010000000000100111100000000001000001011000000000000000000000000000000000000001110010000011001110011100100001011011000000100000001001000001100100000000001100000000000000000000000001101000000000000000000000000111000000101100000000110011111100000001100001100111100000000000101100111101011000100001011000000000111000000001100000000111000000101100000000101011111000000000011000000111101101000110011010111100100001110000011000100001111000100111101100100111001010111100100000010001111010010000111001000000100000001100001010000100000001100001011010110001111001000100000010000110001000101100000000000011011000000000000001000101100110000110000100000000100000000000001001100100100000000000110110000110001000000011111000000011010101101100110011000001110010000110011000101100000001110011111110110100110100100011100000011111001101111100010000100011011000110001111000000111101101101111001010111100000001110000000001101100110000000000000000000011011000010110000001101010000000000000110000000110100000001110000000100110000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000001001000000000000000000000000000000111100000000011000000000010110001110011011000000000111000000111100000011111001100101100110001110000000000000000000000000011110010000010000101111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111001010110011111000000000000000000000000000000000000000001110000000110000000000000001100100000000000000000000000000000000000000000000000000111000100000010111100111100000001111001100111100110100111010101000000001101000100110100010001110000000111100100001000011011000010001001001000110101100000110001100001000000000010011011000000000110000000000000000001100000000000100110000010000000000000000010111100001000000000010000000011000000000011000000000000001111000000001100000001111000000111100000001111011010000000000111000100001000000000111001100011100000000010011011000000001100001010101100000001100000000100100000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100110010110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000000100000000000000000000000000000000000000000000000010000010000010010000100000000100000000100000000000000000000000000010000000000000000000000000000010000000000000000000000100001000000000000000000000000110000000000010010001001100000000000110001001000100000000000010100000001000000000000000000001011000000000000000001000000000000000010000000000100000010000001000010100000010000001000000100000001000000000100000001010001000001000000000000001000000000000100000000000000000000000000000000000000000000000000000100010100000000000000000000000000000000001000000000000000000100000000000000000011000000000000000000000000000000000000000100001000000000000010000010000000100000000000000000000000010000000000101000010000000000000000001000001000000000001000000000000000001000001000000000100100000101000100000000010000101001010100100000001000000000001101000001011000000000000000000000000000000000000000000000010000101001000000000001100000100000000000101000001010000000000100000000010000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000100000000001001000000000000000000000000000000000010000000000110000000001000100100000000000000000000000000000000000000000010010100000001000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011000000000000100010000000000000000000000000000000000000000010000000000010000000000000000001000000000000000000000000000000000000000000000000000000000010000001000011000100000001101100101000000100001101000100000000001000010001000000000000000000000000110010000000000100000000001000000001010000001000000000000000000000000000010000000000000000000000000000000000010000000000000000000000000000000000000000000010000000000000001000000100000000010000000000000000100000000000011000000001000000000000010000010000001000000000010010010000000000000001001010000010010000000000000101000000000010000000000100000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110010100011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000010001000000000100000000000000100000100000100000000000000000000000000000000000000000000000000000000000000001100000000000001000000000000000100100000000000000000000010000000000000010000000000000000000001000000010100000000000000000100100000000000000100000000000001000001001000000000000000000001100000001000000000000000010100000001001000000000000000000000000000100000000000001000000000100000000000000000010000000010000000001000000000001000010100100000100000001010000000000000000000000000010100000000000000000000100000001110000000000000010000000000000000000000010000000000000001000010000000000000001001100000000100000000000000000000000100100010000000000000000000001000001010001001000000000100000000000001000000000100000001000000000000000000001000000001000000000000010000000100000000001001000000000000010000000000000000000000000000000000000100001000000000000000000000000000000000000000011000000100000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000001100000000000000000000011001001001000100000000000001000000000100000000100000000000010000001001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000000010100001000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000010000000000000000000000000000000000000100000000000000000000010000001100000000000010001000000000000010100000000010000010000100011000000000000001000100000000000000000000000000000000100000000000010000000000000000000000000001000110000100000000000000000000100000000001000000000000001000000000000000000010010000000000100000001001000100000000000000000001000000000010000000001000000000000001000000000000000010000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111000110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000001000000010000000000100001010000000000000000000000000000000000000000000000000000001000000100010000000000000101000000010000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000100000000000000000000000000000000010000000000000001000010000000000001000000000000001000000000000000000000000001000000000100000000110000000000000000001000000000000001000001000000000000001000010001000000010000100001000000001000000000110010000000000000010100010100010000000001000000100000000000000000000001000001100000010010000000000000000000000100010000100010000000000000010000000000000000010000000000000100001000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000011000000100000100010000100010000001000000000000000000001001000011000000000000000010000000000000000000000000000000010000000100010000000000000000000000000001000000000000000000100000000000000000000100000001000000000000000000000000000000000000000000010000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000001000000100000000100000000000000010000100000000000100110100001000000000000000000000000000000100000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000010010010000000000000001000110000000000000000000000000001000001000010100110000000000000000000000000000000000010000000000000000010000010000000000000000000000000001000100000010001000000000000000000000000001000000010010000000000000000000000000010000000000000000000000000000000000000000000000000001010000000000000000000100000001000010000001000000100000000000010000000000000000000000000010000000000000000000000000000000000000000010000000001000000000100010000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101010110011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000001001010110001111000000000000000000000000000100100100001110000010011111000110000000001100100000001000000111111111001101001101000000000110011000111100000000000000000011000110001110000000000000000000000000001001101000111000000000000000000000000110011011011111111100000000000001111000000111100110011111000000000110000011001100011100000001011101100111100000000111000110000110001011000101111100100001111101110011000010001110000100000110001101001110011100000000010100100011100000000111000000000100001011000000011000000000011000100000100100001110010110000000000100000000001000000000110000000011100000010011000000000000001111001001111100000000110000000011100000001111001101001110010011001110001100100000110000000001001001000011001110011111001101011100001100110000110001100011110110001101010010001111001011011100000100110001111010100001111111011111000000010010001111000100111101101001010000101011100100000110000000011110000000111100100000000001111101100000000000000110000000000000001111000001011000000000111000000100100000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000100100000000000000000010000000000100000000000000000000001111001010011100000000110000000000000000000000000100000110001111000000011110010000111001000111110010000000000000010110001111001110111100000000000000000111111001000000000000000000000000000000000000000000000000000000000000000000000100011110000000001000111100000000000000000000000110000000000010000010000000000100111000000000000001100000000010000000000000001101100000001000000000000000000001000000000000000000011110011101100000111100011100000001111000000010000110100011000100010110001001111101111000000001110001110010010010001001001101010110000000001000100000000001100001000111011111001111000000000000001100011010100100000011111000001111100000000110011110011011000000111100001000000000011000000000000000000000000000000000000110111100000100000000011001000000000000001111000100010110000100000000000000100101110001011011010100010110001101000100000110000001011100000001100000000101100000000000000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001110010101111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000100000000000000000000000001000000010000000000000000001011010000000000000000000000000000000000000000100001000000000000000000000001010000000100010000010000000000100000000001011000000010010000000001010010000010000110000000001001100000000010000001000000000000000100000000001000000000010000000000000010000000000000000001010010000010000100000000000000000000100000000001000000000000000010000000000100000000001000000000000000000000001000000000000000000000000000000000000000000000100000000010000000000000000001010000001000000000000000000000000010000100000000000100001010000000100000000010000000000000000000000100001000001000000001000011000000000010000000010000000010000010000000000000100100001000010000000000100010000010010000010001000000000000000100000000000000010000000001000001010000000000001000000000001000000001000000000000010010000000000000000000101000000000000010000000001000000000001001000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000010000000000100000000000000000000000000000000000000000000010010000000000000010000100100000000000010000000000000000000000100000010001001000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000010000001000000000000100000000000000000000000000000000000000000001000000000010001001000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000011010000000001101000000000010100001000000010000000001000000011000000000000010000001000000000001000000010000000000000000000000000000000000000001001000000001000000000000000000010001000000000000010100000000000110000000000000001000000000000000010000000000001001000000000000000000000000000000000000101000010000100000010000000000000000000100000000000000000000010000000000000000000011000001000000010000010000010000010000000000001000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001111001110110001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000010101001000000000000000000000000000000000010000010011000000000100100001000000010100000100000000000100111000000100000000100000000001100000000000000000000000000000000000001001000000000000000000000001000000000100000000000000000000000000000000000101001000000110000000000001000000000100000001000100000000000000000000001000000000000001000000110000000000000001000000010010000010000000000010000010010000101000000001010101010000000000001000000000000000000000000000110000000000010000000000010000001000000001000000000000000000000010010000000100000101000000000000000000000000000010000000000000100000000000000000000000000010000000000000000010000000000000000000001000000000000000100000000000000100000010000000000000100000000000000000100101100010100000000100000010000000000000000100101000000100000000000010000000000000000100010000011000001000000100000000001000001001000000000000000000100000010000000000010000000000000100100000001110001000000000010110000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000010000000000000000000000000000010000000000000000000000000101000000010000000000001000000000000000000000000000100000000100000000010001000000000100000000001000000000000000000100101000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000101100000100000001100000000000000000000000010000000000001000000000000000000001000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000101100100000000000110000000000000010000000000000000000000000000010101000010000110100000000000100000000000001000001000000000000101100000000000100000000010010000000100011000000010000000000000001000000000001000000001000000000000000000000001000101100101000000000110000100000000000000000000000000000000000000000000000000000110000000000000001100000000000000001000000000110101000000000000000000000000010000000000000100000000000000000000010000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110010110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000001010000000000000010000100100000000000000000000000000000001000000100000001000000000000100000000000100110000000000000000000000000000001000000000000000000000000000000000000001000000000000000000000000000000000000000000010000000000011000000000000001000000000000000000000000010000000000100000000000000000000100000100000001010000000000010100000100010000010000001000000000000000000000000000000001000000000100100000000100000001010000000000100000000000000010000000000000000000000100000100000000000000001001000000000001000000000000100000000100000001000000000000000000000000000010000000011000010000000100000001000100000110000000000000010000000000001000000000000100000000000000000000000000010000000100000000001000000010000100000011000100100100000000100000001000000000111000000010000010000000000000100001000000000000100100000100001000110001000000000000000000001000000000000000100000000000000000000000000100001000000000000000000000000000000001100000000000000000100000000001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000100000000000010000000001000000000000000000000000000000000010010001000000000100100000010000000000100010000000000000000100001010000000100010000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000010000000000000000000000000000000000000000010000000000000000000010000000000000000000000000000000000000010010000000000000000000000000000000100000000000000000000000010000000000000100000100000000100000000000000000000000000000010000000000000000000010000000010001010000000000000000000000001000000000000000000000000010000000001000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011000000000000001010000000000000010000000000000000000001111011100000000100000011111100111000010000001000000000000000000011100110100100000101000000000000000000010000000110010000000000000000000100000000000000000100000000110000000010110001111111100110000110001110000000000100000010111000000000110000111101110011100000010110010000111001100001011000000001101001111001010101100100011111001000111100100001110000000001110001010001010111000100000111000000001101100001111000000000100001111000000111010010000111000000111100000000111000000000110001000000100011100110010010001001011100000000110000000000110000110000000111100010001101000000011100000001100000000010010000111011000001100100000000000100011101111110110000000000010000110111100001100010001110101100001100000001110000001010110100111100100110000010001111001000010110010000110000000010010001111100100111100000001101101100101100000001111000000011111100000111100111100000000000000100010100000000001000000000000001111000000111100000000000100000010100000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000100111011110000000000000011000000000010000000000000001111000000100100110000111000000101100100001110000000000110001100000001111000000001100001010111100000000010000000000010000000000100000000010000000000000000000000000000000000000000000000011100000100000000000000000001000000000110000000000110000000000100001100110000000000000000000000000000000000010010000000000000000001100100000000000000000000000000000000001110000100011100110100110100011001111001000010000100000000011110001111111101011000000000111000000011000000001101000000000110001001101100101000100001001001000010100110000111000000000000001111000000011000110001111000000111100100001100000000011110000000111100010000000000011000000001000000000001000000011110000000000000101100000000000000000011000000001001000000000100010110000000000100000000110000000001100100001110000000000000011111000001011100000011111001010011100100000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110001000110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000100000000010000000010001000000011000000000001000000000000000000000001000000010000010100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000010100000010100000100000100000000000000000010000000000001010010100000101010000000000000001000000010001100000000000000000100000000000000000010001000000000100000000000100000000001011000001000000100000000010000000000010000001010000000000000000100000000000000010000001001000000110000000001001000000000000000000000001001000000000000000001000000000000100000000000000000000000001001100000010000000000100000000000000000000000000100000000010000000000000000000001001100000000100000000000000000000000010000000000000000100000000000000000000000000000000100000000000100000000100000000001010000000000000000000000000100000000100011010000010010000000000100000100000000000000000000000000011000000000000000000000100000000010000000000000000010000000000000110000000000000000110000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000010000100001001000000000001001000000000000000000000000100010000000000000000100100000000001000000000000000000001010000010000001000000000000100000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000000000000001000000000000000000001010000000000000000101010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000001000100000010000010100001001010000000000000000010000000000001100010000011000000000100000000000100000000100000000000000000001000000000000000000001000000001010000000100001000000000000100000000000000010000100000000001000000010000000000000000001000000000010000010000010000000000000000000100000000000000001000000000000000000000000000000000000010000100000000000000000000000000000010000000000010000000001100000000010000000000000001010000001000000000001010000000000010010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011011101001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000010000000000000000000000000001100000000011000000000000000000000110000100000000000000000001100000000000100010100000000100000000000000000000000001000000000100000000000000000000000000000000000000000000000001000000000101100011000110001010000000010000000010000000000000000000000000000000010000000000000000000000000000000000101000000000000000001000000001001000000000100100000011100000000010000000000000000010000000100010000000000000000000000000010010000000000000101000000000000001000010000000000100100000000000000000000001101000000010000010000000000100000000000000000000000000000001110000000000001000001000010000001000000000010010000000001000010000000000000100000000000000000000000001000000000000000000010000000110000100000001000010000000100000000011000000000101010000010000001000000001000001000000001000000000000000001000001000000100000000000001000001100100100000001000000000000101000000000111100000000000000000010010000000000000000000000000001001000000100000000000000000100000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000001000000000000000010000000001000001000000000000011000000000100000000000000000010000000001000000000010000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000010100000000010110000000000000000000000000001000000000000000101100100000110000000000000000000000000100000000100000000010000000010000110001000000000010000000000010001000000000000000000001000000000000000001001000000001000000000000010000000000101100000000110000000000000001000001000000000000000000000000101100000000001100000000000000000001000000000001000000000000000100000000000000000000000001000000000000000100011000000000000000010000000000000000000010000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111101010111011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000000010000000000000000010000000000000000000000001000110000000000010000001000010000010000000000000000000000000000000001000000000000000000000000000000000000000000100000000000000000001000000000000000001000000100000000000000000000000000010000000000000100000000000000000100000000000000000010000000000000100000000001000000000110000000010000000001000011000000001000000000100000000000000000001000010000000000000000000000000010000000000101000000010000001000100000000000000100010000000000110010000000000000000000000000001000000000000000000000001000000010000000000001000000000000010000000000000000100000000000000000000001000000100000000000000000000000100000010000011000001000000000001000000000000000000000000000100000100000011000000100001100001000001000000100000000001000000000010001000000000100001010000000000000100010001000000000100001010000000000010000000000001000000000000001010000000000000000000000010001000000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011000000000000000000000000000000000000000000010000000000100001000000010000000000001000100100000000000000000000000000000000000000000000000100110000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000010000010000000000000011000000000000000000001000000000010000000010000010000001000000000010000000000000001000000000000001010000000000101000001010000000100010000000001000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110101111111000000100000000000000000001101110100000000000001011111110011110000100000010000111111111111000000001000001011110101101011110000100000000000000000000000111100000000000000000000000000000000000000010000001001111010101000001000000000001000111100000000100000010000110011001010101000001000000001111111111110100000100000000100111111111111111100100000001000000011001100110000100000010000111111111111111100001000000011111111111111110000100000000100111111111111111100001000000010000011000000000000100000010000111111110000000000001000000010001000110111010000100000010001111100111100111110001000001011111110110011111000100000010001111100110011110010001000000010101001000000001000100000010001111100111100111110001000000000101011110011111000100000010001001111111111110010001000000000011011000000001000100000000100111111101010111100100000001011111111010111110000100000010000000000000011000100001000000000000000000000000000000000000000000100011011111100000000000001110000011111110000100000010000001111110000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111111100011111100001000000000000000010100000000100000000100111111111111011100100000000000000000000000000000100000000100000011111101111100100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000111111111011011100100000000000000000000000000000000000000100000011111111000000100000001000000000000000000000000000000000000000000000000000000000001001100110010101010010000000000100111000100100011100100000000011011110101001010000100000000100111100001011000100100000000001010101011010010000100000010000011001101111000000100000001010011001000000000000000000000100001100110000000000001000000000000000000011110010000000000100001001110101010000100000000000000000000000000000000000000100111001001001011000001000000010011001100111110000100000010000111111111100110000100000001000000000110011000000100000010000010000101111011100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001111101001001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110101000011000000100000000000000000001101110100001000000001010000110000000000100000010000111111101111001100001000000000000101101000000000100000000000000000001111000000000000000000000000000000000000000000010000001001111100110000001000000001110100111100000000100000010000111111111010111100001000000001111111111010100000100000010000111111111111111100001000000000111111001101110000100000010000001111111111111000001000000010111111111111010000100000010000111111111111110100001000000010000000000000000000100000010000101111110000000000001000000000010001011101110000100000010001001111000011110010001000000011111010001111001000100000010001001111000011110010001000000010101010000000001000100000010001001111000011110010001000000000100010001111001000100000010001111100000000111110001000000010011111000000001000100000010000101010100100111101000000000000001111111111110000100000010000000000000011000000001000000000000000000000000000000000010000000000000011111100001000000000010000010101010000100000010000000111110000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100111111111111111100001000000000000000010110000000100000010100010101011111111100101000000000000000000000000000100000010100000011111111111100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010111111000010000101000000000000000000000000000000000010100000011111111000000101000000000000000000000000000000000000000000000000000000000000000000010011001110000110010000000010100110100010100011100101000000010001100010110100000100000010100100110011110010000101000000010101010100101100000100000010000100110011001100100101000000011111111000000000000000000010100110011000000000000001000000000000000010101010010100000010100100011010000000000101000000000000000000000000000000000010100010011100110100100001000000010011001011011110000100000010000011101111110100000101000000000000000001100110000100000010000011100011111111100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101001011011101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000011110001000000000000000000000000000000000000000000000101110011110001000000001000111111111111000000010000000001010011000011110001000000000000000000001111000000010000000000000000000000000000000000001000000100011010101000010000000010110000001111100001000000001000111011101010000000010000000000000000111111110001000000000000011111111111111000000000000010010110111111010001000000001000111111111110111000010000000000110011111111110001000000000000000100011111000000010000000001111111111010100001000000001000000000001111111100010000000011111111111110110001000000001001111111110011110010010000000011101100001111001001000000001001001111000011110010010000000000000000101011011001000000001001001111000011110010010000000000001111001111001001000000001001001111000011110010010000000000000100101011011001000000000010111111101100111101000000000001010111101110110001000000001000001111111100111100010000000000000000000000000000000000001000000000011010000000000000000001011111000011110001000000001000000000001100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111110010000000000010000000000000000111111110001000000001000111110010111111100010000001000000000111111110001000000001000000000001111111100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010111111000010000010000000000000000000000000000000000001000000011111111000000010000001000000000000000000000000000000000000000000000000000000000000000000000111100000001000000001000000111011101111000010000000011111111010000010001000000001000110001000110011000010000000010101010011010010001000000001000111100001100010100010000000011111100000000000000000000001000101000111001011000010000000000000000000000000001000000001000000000000000000000010000000000000000000000000000000000001000000000001001011000010000000010100101010101010001000000001000110011001111000000010000000011001100011010010001000000001000100110010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100010010001111111111111111111111111111111111111111111111111 +00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110101111111110000000000000000000000000000000000000000000011110101110011110000000000000000101011111111000000000000000001010011111111110000000000000000000000001111000000000000001000000000000000000000000000000000101110111100110000000000000001001000001100010000000000000000111011101010000000000000000000000000000001010000000000000000111111111111111100000000000001101001010101010000000000000000001010111110111000000000000011111111111111100000000000000000000011111111110000000000001011111111111011100000000000000000000000000011011100000000000011111100111111110000000000000001111111111100111110000000000011001100110011111000000000000001111100111100111110000000000000000000101011011000000000000001111100111100111110000000000010001111110011111000000000000001111100111100111110000000000011000111101011011000000000000000111100001110110100000000000001110111111111110000000000000000111111111100111000000000000000000000000000000000000000000000111111101010000000000000000001011101010111110000000000000000000000001110101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110010000000000000000000000000000011111110000000000000000111101011011101100000000000000000000001111110000000000000000000000001010010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111011011100000000000000000000000000000000000000000000000011111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000111010001001000000000000011001111001010000000000000000000011000011001100100000000000001010101100101100000000000000000000011111100101000000000000011001100000000000000000000000000010100110110100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110100100000000001010011001101010100000000000000000001100111111000000000000000000110011100101100000000000000000100110010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000101111110011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110111111110111100000000000000000000000000001100011000000011100111100110011100000000001100011011111011011111011000000000110111111000110100000000000000000000000000000000000000000011110111111110110100000000000000000000000000001100011000000000000000000000000000000000000000000000000000000000000000000010000100101010100100000000000000000001100011001100011000000000110000000000000000000000000000000000000000000000000000000000110000111000100000000000000000000000000000000000000000000011110111110110111100000100001100011000000000001100001000011000000000011000110000000000000000000000000000000000000000000011000001100110111101100011000000000000000100001100011000010000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000010001100000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000111100000000000000000000000111100000000000000000000000000110000100000100000000000000000000000000000011001000000000000110000000000110000000001100000001100000000000000000000000000000000000000000000000001100000001100000000000000001100000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000111100000000000000000000000000000000011001000011000000000000000000000000000000000000000000000000000000000111001111100000110000000000000000000000000000000000001000000000000100100000001100000000110111011110111100000001001100111101100111101100011000000011100111111110000111000000000100011001000001011110111100000011110011111110011000000001100000110000000110101100011000011000000000000000000000000000000000000000000000000000000000000000110000011001000000000000000000000000000000000000000000000001100011001100010000000100000000000001100101000100011000000000000110000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000001100000000000000000000000000000000000000000001010110110011100100000000000000000001100011011111010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111101001001111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000101000000100000000000000000000000000000000000000000010010010000000011000000000000000000000000000000000000000000000000011001000011000000000000000000000000000000000000000000010110111010110011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000001000000101000000100000000000000000000000000000000000000001000000000001000000000000000000000000000000000000000000000000001100100100000101100000000000000000000000000010100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000100000000000000000000000000000010000000000000000000000000000000000000000100000000000000000000000000000000000000100000010000000000000000000000000000000000000000000000000000100000010000000000000000010000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001101000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000001000000000110000000000000100001000000100000100000001000000000000100000000000000001000000101000000101100000000000000000100100001101101000000001000000101000000000000010000000000000001000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000010100101010100001000000000000000000000000000010000101000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000101001000001000000000000000000000010100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110111000010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100110000100100000000000000000000000000000000100000000000000101001000100000000000000000100000111000000111000000000001000001000000001000000000000000000000000000000000000000000001000001001000001000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000001001000001000000000000000000000000100000000100000000010000000000000000000000000000000000000000000000000000000000001000000000000001000000000000000000000000000000000000000000010000000110000000100000000100000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000010000000100000100000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000100000000000000000000000010010000000000001000000000000000000000000000000000000000000001000000000001010000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100001010000000000000000000000000000000000000000000000000010000000000000000010000000110000100100000000000000010000000100100000100000000000000000110000000000000000000000000000000000001000010000000010000100010000100000000100000000000100000010000000100000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000100010000100010000000000000000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010100101100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000110001000100000000000000000000000000010001000100000000001000110000000000000000010001000100010100000010100000000000100001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000110001000100000000100000000000000000000000000000000000000000000000000000000010000000000001000000000000000000000000000000000000000000000011000011000100011000000000001100011000000000001100001001000000000000001000010000000000000000000000000000000000000000000000000000010000000010001000100000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000000000000000000000000000000000000000000000000000000000001000000000000000000000000100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000001000000100000000000000000000000000000000000000000000010000000000000000000000000000010000000110001000100000000001100011001100000110001000100000001100011001100000000000000000100011000000000010000000000000010001000110001010000000000000000000100000000110000000101000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001101010111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000011000000000011000000000000011000000001000000110000000000100011110000000011101010010000000000000000000000000000000100100011000001000000011110101100000000000000000000100000000010000000000000001111000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001100000000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000001100000000000000000000001000000100000010001100001000011000011100000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100010110001000000000000000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000110000000000011000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000111111000000000000000000000000000000000000011000000000110100001100110000000000000000000000000000000000000100000110001000000000000000000000000000000000000000000000000100000000000000011011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100001001000000001100001000111000000000100010000000001000000110001011000000001000000000011000100000000110000000000000000110000000000000011000000000111000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100011001111000000011110000000000000000000000000100000000000100000111001000111101000011000000000000000000000000000000001100000001111010111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000110000000000000111110010001111001000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011101110101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000000110000000000000100000000001000000000000000000000000000000000000000000010000000000000000000000010010000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000010010000010100000000000110010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000010000000000000000000000000000000000100100000000000000100000000000000000000000000000000000000000010001000000100000000000000000000000000000000000000000000000010000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000010000000000100000000000000000000110010000000000001000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010001001010100000010010000000000000000000000000010000000000001000000000010000000100101000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000110000000000000100000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111000101100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000100000000000000000000000000000000000000000000001000000001000010000000000000000000000000000000000000000100000100000000000010000101000000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000001010000000000000000000001100000000000000000000000000000000001010000000000000000000000000000000000000000000000000010000000000000000100100000001001100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100100000000001000000000000000000000000000000000000000000000010001000100100000000000000000000000000000000000000100000000001001000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001110111100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000001000000000010000000000000000100000000110000001000000001010000000000000000000000000000000000001000001000000000000101000000000000000000000000000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000000000000000010000000000000000000001000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000101000000100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000010000000000000000000000000000000000000000100000000110000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000100000000001010000000000000000000000000000000000000000000000100000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110101101111000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000010111100001000000001010001100000100110100110011000000110000110000000011100000001100000000111100100001110000000001111101111100100000001100001100000100100000000001100000000000000000000011000000000000000000011000000000000000000000000000000000000000000000000000000101001100000001101100000000000000010000000000100000000000000000000000000000000000000011111000000001111000000100100000001111000000101100000001111000111100100000110011100011010010001100001000101100000000010000000000000000000000000111100000010011011000011000100000000000000000100100100000100000100000000000000000100100010000100000000000000000000000100000000000000000000000000000000000000000000011110100000100100011000000000110000000000000000000000011111001111100000000000111100000000110100100011000000000000010001001100001111000000111100010000110000100111100000001111011010001101001110000000011100010011111100100111000000001101000000000000000110011000001100000000000000000111110010000011011011000000001100000000101100000001111011000100100100001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000110000000001111001001100100000001110011000000000000000000000000001111101110001000000000000000000111110010001111000000000000000000000000000000000000011000000000000110000000000000000000000000000000000000000000000000000000001001000000011010000000000110000000011100000000110000000001100000001111001010000000000110001000001100100000100001110101100100010110001010100000000111000000000100000000110000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000001111000000011000000001110001100111100110101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100011110001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000100100000000100000100000000001010000000000000100000000000100000000100000000000000000000000000100000000100000000001000000000000000000000000000000000000000000000101000000000000000000101000000000000000000000000000000000000000000000000000010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000001000000000000000000010000000000000000000101111001000000100000000000000001000000000001000000000000000000000000000010000100000101000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000101001000000000000000000000000000000000000000000000000000000000010010000000000000100100000000000000000100100001100100000000010010000000000000001000000000001101000000000010000000000001000000000100010000001000000000100000000000000000000000101000000000000000000000000011010000100000000010000000000000000000000000000100100101000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010000000000000000000000010000000100000000000000000000000100000100010010000000000000000000000000000000000001000000000000001010000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000010000000000000000001000000001000001001000000000010000000000100010000000010000000000010000010000000000000100000000000010000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000100000000000100000001000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011000011001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000001000000000000000000000001000100000000010000000000010100000000010000000000000000110100000000000000001000010000000000000000010000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000000000000000000000000000001010100000000010000000001000000000011000001000000000000100000000000000100000000000000000100011000000000100000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000010000000000000000000000000000100000001000000000001100000000010000010000000100000000000000000000000001000000000000000001000000000011001000000000010000100000000000101000000000100001001000010001000000000010010000000000000010000000000010000000000000000000000001000000000000100000000010100000000011000000001000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000010000000000000000000011000000000000000000000000000000100010001000000000000000000000000101000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000101000000000000000001000000000100000010000000001001000000000000001000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000010000000000000000000011100000110000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100011000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010010000000010000000000001000000000000000100000000000100000000000000000000000000000110010000000010000000000100001010000000000001000001000001000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000001000000000000001000000100010000110000000000000000000000000000000100000000000000011000000000000000000000000000000000000000100110000000000000000000000000000000000000000000100000000000100000000000000000000100010000001000000000000000000000001000000000000000000000000000000000000000000000000100000000000000000000000000100000000000000000000000000101000000000000000000000000000000100000000000000000000000000000001000000010000000000110000100010000000001000000000000000110000000100000000001000000000000000000100000010000000000000000000000000100000000010000000000000000000000010100000010000000000000000000000000010000000000010000000000010000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000001010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010001000000100100000010001000000001000000000001000000000000000000000010000000000000000000001000000000000000000000000000100010000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100110111010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000010110011110000000111100111100000000100001100000000110000000000100000100001111001000101100100000000001000011100000001111000100010110000000000000001000000010011001000000000100001111000000000000000000000000000000000000000000000000000000000000000000011111100000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000110000000111100000000100011010111100000000111000110000110000000000000000000000000100001000001000000000110000100000100001111001000111100000000000001000000000000000000000000010010000000001010100100000001100000000000000100100000000100000100000000001000000000100000000101100000000000000000001101000000000000000000111100000000110000000111100000000000010010010010001101000000111100000000110111100111110010001100010010000000000011100100111100000001110000100011100000000011000000000000001111011010011011111000111000000100010010000001000000010010000110000000000001100101110000000000010010000110000000001100101100000000000000000001111100100101110010001111000000000000000000000000000000000000000000000000000000000000000000010000000000100100000000000000000000000000010010000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000001100000000000000000000000010000000000111000000000010000000000000000000000000000000000000000000000000000000000000000000000110011100000000000000000000000000000100100000010110000000000110000110000000000101101100000001100000000000000000001111000000010010000000001110011100000000000000000000000000000000000000010010000000010100000000000000000001010000000000000000000100000100001110100100001100100000110100000000100000000110000000010010010010000000101100000010110000000000100110010010000100010010000110000001001100000001100000000000110000000110000010000000000000000000000000000000000010100000010000000000000000000000000000000000000000000000000000000000000000000000000000011110001100100100111110000000011000000000000110001100000000000000000000000100000000000000000100100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000011111001111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000011000000000000000000000000000100000000000000000010001000000000010000000000000100000100000000001000000000000000000000000000000000000001000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000100001000000010000100000001000001010000000000000000000000000100000000000000000000101000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001001000000000000000000000010000000000000000000000010000000001000000000000000101000001010000000000000000000000001000000001011000000000010000001000000000100000000000000000001001001000100001010001001000000000000000100000000000000000000000000000000000001000010000000000001000000000000000000001000000000000000000000100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000001010000001000000000000000000000000000000000000000010000000001010001010000000010000000000000000010000000000000000010000000000000000000000010000000110000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000010000000000000000000000000000000000000000010000000000101000000000000000000000000000000000000010000000010001010000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000100000000010000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100100100101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000010101000101100000000110001100000000000100000000010000000000010000000000000101000000001110000000000000000010100000000100000000100101100000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000100000000000000000000000000000000000000000100000000000010000010000001000100000000000000000000100000000000000000000000000001000000000000000011000000000010000000000000000000000000000100000100000000000000000000100000010010000000000000000000000000000000000000000011000000010000000000000000000000000001000001000000100000000001100000010000010010100001001001000001001000000000001010000100000000000011000001000000000000000000000000000001000000000000101000000000000000100001001000000000000001000010000000000000000000000011000000000001000000001000000000000010100000000000000000001000000100000101001000100000000000000000000000000000000000000000000000000000000000000000000001000000000010010000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000010000000001010000000000000000000000000000000011000000000010000000000000000000100000000000101000000000000000100000000000000000000000000000000000000001000000000000010000000000000000000000000000000000000000000010000001000010001000010000000000000100000000000000000000000000101000000000000000100000000000000000000000000000000000000101010010000000000000000000001000000000000000100000001000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000001010100010010000000000100000000000000000000001000010000000000000000000000010000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000001000100000000000000000000000000000100000000010000000000000000000000000000000100100000000000000000000000000010000000000000000010000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000011000000000000000000000100000010001000000000000000000000000000000000000000000010000000000000000000000000000001010000000100110000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000000000000000000000000000000000000000000000000000100000000000100000000000000100000010000001000000001000000000100000000001000000000001000000000000000000100000000000000000000000101000000000010000000000000000001000000000000000000001000000000000000000000000000000000010000100000000000000000000000000000000000000100000000000000000000000000000000000000001010001000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000011000100000001000000010001000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000001000000001000000000100000000010000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001010010000000100000000000001000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111011100001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000000010000000000000000011000000011110000010111100001100110100010000000001100000000110000000001101101111001010111100100000001001010000000000001100000000000000000000011100100100010000000001000001000000000011000000000000000000000000000001101100000000000000001101100000000000000000000000111100000001101100000011000000000000001101000000000000000000000000000000000000000011000000000010000000000000000000000011000000111100000000110000000111100000001111000000001100001110001100011100100000000000000000011110001000000000000000000000001010011000000000000000000000000000000011000000010010001100011000011000000001100000100100100100000010000000000000000000100100000000000000000100100000000010000000000000010010000110100100111100010000011011000110100000001110000000000110000110001101111100000000111100100111100000000000000000000110001100001000111111111101111100100011101111001100000000000000001111001000110000110010111000000110100000001001000000000000000000011010111100000000000100100111101101101100000000000000011111000000000001101101100100100001100000001110000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000111100001100000000000100100000010110000000000000000000000000011100011100010000000000000100101110001100000000000110000000111100011000110000000000000000000000010011000000000000000000000000000000000000000000000000000000000000000000000000000100000000101100000001110000000111100000000110000000000100000111111100001100100000110000001001100100001110000000000000000111000000001100000000110000000011100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001100111100000000110101110000000011100100000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011000001100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000001000000000000001000000000000001000001000010000000100000000000000000000000000000000000000000010000000000101000010100000000000000000000000100000000000000000000000010000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000101000000000000010100000000000000000000000000000000000000000100010000000000000000000000000000010000000001000000000000001000001000000000100000000000000011000000010001010000000000000000000000000001000000000000000000000000000000100000000000000000000000000000100000000000001000000000000010000010000000000000100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000001000000000010000101000000010000000011000000000000000000000000110000000001000000000100000000000000000000000000000000000000010011000010000000001000000000000000000000000000100000000000100001000100000000000100000000010000000000000000000000001001000000000000000000000000010000000000000000000000001100000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000010010000000000000000000000000100000000000000000000000000110011000001000000000000000010000001000010000000001010000000000010000011010000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000010100010000010010000010000010000000000100010000100000000000000100100000000011000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000010000000100000000000001011000010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110100111110001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000101100000000110000100000000000000001000000000000000000000000000001001000000100000000000000000000000000000000010000000000000000000000000110000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000010000000000000000000000000000000000000000000000000000001000000000000000000000001000000000100000000000000000100100000000010000000000000001000000000000000000100000000000000000101101000000000000000000000000000000000000000000000000000000000000000000000000100010100000000000000000001000000000001000000100001000000000000000000010000000000000000000010000000000000000000000000001000010000010000000100001000000000000100000000000100000000000001110000000010100000000000000010010100100000000000000000000001101000000000000001000001000010011000000001010100000000000000001000000000100000000110000000000000000000000100000000000000000000000000110000000000000010001001000000001000000000000000001000000000000000000001000010000000100000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000111000000000000000000100000000100100000000000000000000000000000000000000000000000000100000001101000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000000000000000000010000000000000000000000000111000000000000001000000000000000000011000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000110000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010100110010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000100000000000000000000000011000000000010000000000001000000010001000000000000001000000001000000000000000000000000000000000000000000000000000000001010000000100000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000001000000000001000000110000000000000000001100000010000100000000000000000000000000000000000000000000000000000000010000000000000000000000000000010000000000000000000000000010000100000001000000000100000000000000000000000000000000000000000000000000000000100000000100000000000000000100000100001000001010000000100000001000000000000000000000000000000100000010000000000001100000001000000000000000000000000000000001000010000110000001100000001000001000000000000000000000011000000010000000000000000000000100010000000000000000000000000000000000010000000000000001001000000000000001000000000000000000000000000000000000001000101000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100010000001100000000001010000010000000000000000000010000010000000000000000000000000000000000000000000000000000010000000000000000100000000001000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000001100000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001010111101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100000000000000000000100010000000100000010000000011111100110000001000000010001000001010100000100000010000001011111111111100001000001000000000000000000000000000010000010101011111000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100001000000000000000000000000000000000000000000000000000000000000000001011101110111100110000100000010000111110110011111100001000000011111111111111110010000000010000000000001111111100100000000000000000000000000000000000000000000000001111111000001000000000000000000000000000100000010000000000000101101000100000001000000000000000000000000000000000000000000000000000000000000000000000110011111000100000010001111100111100111110001000000000110000110011111000100000010001111100111010110110001000000001110111001101110000100000010000101000000100010000001000000000011111010000000000100000010000010011111111111100001000000000000000000000000000000000010000101100111111010100001000000000000000111100000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000000001111010100000000000000000000111100000000000000000100000011111111111100100000001000000000000000000000000000000000000011111111110000100000001000000000000000000000000000000000000000000000000000000000000010010011000100000000100000010000110000110010011100001000000001111011111111110000100000010000011010011111000000100000001001100000101000000000100000010000110101001001011000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001010000100000000100111100111101100000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111111101001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000001000000000000000100000000000100000010000000001011100111100001000000011101110111111110000100000010000000011111111011100001000000000000000000000000000000000010000011101011111111100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100110000001000000000000000000000000000000000000000000000000000000000000000000011101110111110110000100000010000111111111111111100001000000000110011111111110000100000010000000000001111111100001000000000000000000000000000000000000000000000001110111000001000000000000000000000000000100000010000000000001111111100001000000000000000000000000000000000000000000000000000000000000000000000000000001111001000100000010001001111000011110010001000000011111111001111001000100000010001001111001010110110001000000010110111011111110000100000010000010111111101110100001000000001111111111101000000100000010000010111111111111000001000000000000000000000000000000000010000101110111111010100001000000000000000111110000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000010100000000000000000001111010100101000000000000000111100000010100000010100000011111111111100101000000000000000000000000000000000010100000011111111111100101000000000000000000000000000000000000000000000000000000000000000000011000110011100110000100000010000110000111000001000001000000011011110111110100000100000010000100101101110100000101000000011110110000001010000100000010000010000000110100100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001010000100000010100111111001000110100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110101001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000111110111101111100010000000000110000010011100001000000001000111111110000000000010000000000000000000000000000000000001000000000001011101100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100010000000000000000000000000000000000000000000000000000000000000000000000000000111111110001000000001000111110001111111100010000000000000000000000000000000000001000011101111111110000000000000000000000000000000000000000000000000000000000000000010000000000000000011111010001000000001000011101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111001000000001001001111000011110010010000000000000001001111001001000000001001001111000011110010010000000010111011001010110001000000001000000110001111111100010000000011111101111111110001000000001000010100010100000000010000000000000000000000000000000000001000010111010101000000010000000000101011000101010001000000000000000000001110111000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001111000000010000000000000000000000000001000000010000110111010000111100001000001000000000000000000000000000001000000011110000000000010000000000000000000000000000000000000000000000000000000000000000000001111011100110010001000000001000010000011000011100010000000011001100011010010001000000001000100101101111000000010000000001010101011010010001000000001000100101101000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100101100110010001000000001000000010100100000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100001010111111111111111111111111111111111111111111111111111 +00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100111101110100000000000000110101111111110000000000000000101111110000000000000000000000000000000000000000000000000000000000001011101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001100000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000100010001111001100000000000000000000000000000000000000000000011101111111111000000000001000000000000000000000000000000000000000000000000000000000000000000000110101110000000000000000011011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001111100111100111110000000000011100000110011111000000000000001111100110011110010000000000011110011001010110000000000000000011111101010111100000000000011011101011101110000000000000000100110001100010100000000000000000000000000000000000000000000010001001111111100000000000000101011010101110000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000011010000010100000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000001001000100110010000000000000000001010000111100000000000000000110011100101100000000000000000011010011111000000000000001011111111100101100000000000000000011001101110100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100101100110010000000000000000010111111110101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001110100000000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000111111110111100000000000000000000000000001100011000011000000000000000000000000000000000000000000000000000000000000011110001111110111100000000000110000000000000000100011000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000011000000000000000000000000000000001100000001100000000000000000000001100000000100000001100000000000000001100000000000001100000111100000001100000001100000001100000001100000000000000000000000000000000100000001100000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000100000000000000000000000000110000000000000100000001100000000100000111100000000000000000000000000000100100000111100000100100000111100000000000000000000000000000000000000111100000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110111011000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000101000000100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000001000000101000000100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000010000000100100000000000000000001000000000010000000000100000000000000100100000000001000000001000000000010000000000100000000100001100000000000000000000000000000000010000000010000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000010000000000100001000000001101000000000000000000000000000000010000000010000001000000001101000000000000000000000000000000000000000000100000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010011111111100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000010000000000000000000000000000000001000000101000000010000000010000000000000000000000000000000000000000001000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001100010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011001100011000000000000000000000000000001100011001000000000000000000000000000000000000000000000000000000000000000001100001001100011000000000010000000000000000000100011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000001000000000000000000000000000100000000000000000000000000000000001000000000000000100000000000000000000000000000000100000000001000000100000000100000000100000000000000000000000000000000000000000000000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000100100000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001111010001011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001000000000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001000000000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000001111000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111010111101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111101111001111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110011010111010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000100000000000000000000000000000000000000000000000000000100000000000000000000001111000000000000000000000000000100100000001111000000000000000000000000000000000000000000000000010010000000000000010010000000000000000000000000000100100000010010000000000000000000000000100100000000000000000000000000000000000000000000000000001111000000111100000000111000000110110010001111000000000000001111000000000000000001100011000000100000001110000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110100000000000000000000000000111110000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011110111101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000100000000000000010000010000000000100000000100000000000000000100000000000000000000000000001000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000010010000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010111111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000001001000000000000001000000000000000000000000000000010000000001001000000000000000000000000010000000000000000000000000000000000000000000000000000001000000000000000000000000000000011001000001000000000000000001000000000000000000000010100000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111101110111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000100000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000001010000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000100110000001100000000000000010001010000000000000001010000000000000000100000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111011100100111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110000000000000100100000000000000000000000000000000000000000000000000000000000000000000000100100000010010000000000000000110000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000010010000000000000010010000000000000000000000000000100100000000000000000000000001101000000000000000000000000000100100000000000000000000000001101101111011010111100000000000000000000000010001111000000010000000000000000011000000000011000000000000000001111010010000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000010010010000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000010010000000000000000000000000000100100000010010000000000000000000000000000000000000000000000100000000010010000000000000000000000000000000000000000000000000000000010010000000000000000010000000000000000000000000000000000000000010000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000010010000000001000000000000000000000000000010010000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000100000000010010000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000001000000000000000000101110000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110110110111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000010000000000000000000000000100000000000000000000000000001001000000001000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000110101111000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000010000000001001000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000010010000000000000000000000000000100000000000000000000000000010000000000000000000000000000000001000000000000000000000000000000000000010001000000000001000000000000000000000000000001000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000001001000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000001001000000000000000000000000000010010000001000000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000100000000000000000010000000000000000000000000000000000000000010000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000001000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000100000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001000000000000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000010000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001010000000100110000000000000000000000000001010000000000000000000000000000000000000000000000000000000001010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001111000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110011000000000000000000000001100000000000000000000000000000000000000000000000100100000000000000000000000000000000000001110011000000000000100100000001100000100000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000011000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000000001001000000000000000000000000111100000000000000000001000000000100000000000000000000000000000000000000000100100000000000000000000000000000000000111100000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000100000001100100000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000100100000000000000000000000001101000000000000000010010000000100100000000000000000000000000000000000100100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100101001011011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000010000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000010000000000000000000100000000000100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111010111011110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000100000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000110000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110010001110011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000100000000000000100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000100000000000000000000100000001000000000000000000000000000000000000000000000100000000000000000000000000000000000000100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001001011011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000001111111100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000001000000000000000000000000000000000010000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100011001011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000001111111100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000100001000000000000000000000000000000000010000000101110000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011010010110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000001000000000000101010100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110001000111111111111111111111111111111111111111111111111111 +00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111110000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010100000001111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000110000000000000001111000000001100000000000000000000000000000000000000000110001111001000000000000000000000000000000001100000001100000000001000000000000000000000000000000000000000000000000000000000001111000000001100000110000000000000000000000000001100000110001111000000000000000000000000000100000001100000001100000000001100000000001100000000000000000001000000000000000000000001101111000000000000000000000000001100000000000000000000000000001111000000000000000000000000000000000001100000000000000001101100000000000000000000000000001111000000000000000011001000001111000000000000000000011000000000000001111000000100000000001111000000010000000000000000000000000001100000000000000000001100000000000000000001100000001100000000011000111100000001101111000000000000000000010000100000000111100000100100000100001001000000000000000000000000001100000000000000000000000110001000000000000000000000000000000000000001111000000000000000001111000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000001100000000000000000000000000000001000000000000001111000000001111000000000000000000000000000000000000000000000011000000001111000000000011001000000000000000000000000110000000000000001100000000001100000110000000000000000000000000000000000000001111000000000000000110000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101111011111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000001000100000000000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000001100000000001010000000000000000000000000000000100000000100000010000000000000000000001000000000000000000000000100000000000000000001100000001000000000000000000000000000100000000000000000000000000010000000000000000000000000000000000000000100000000000001100000000000000000000000000000000110000010000000000000000100000000001000000000000000000001100000000000000100011000000000000011010000000001000000000000000000000000010000000000000000000000000000000000000001000000000110000000000000011101000001100000001000000000000000000001000000000000100100001000000000000000100000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000001000000000000000000000000000000000000000000000000000000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000110001000000000001000000000000000000000000000000000000000000000001100000010000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011111111000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000010000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000001000000000000000010000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000100000000000000000000010001000000000000000100000000000000000000000000010000000000000001000000000000000000000000000100000000100000010000000000100010000000000000000000000000000000000000000000000000001010000100000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000010010000000000000000100000000100000001001000000000000000000000000000000000000000001000000000000000010000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000100000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000100000000000000000110000000100000000000000000000000000000000000000000000000100110000000000000000000000000000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000110000000100000000010000000000000000000000000000000000000000110000000000000000000000000000000000001000000100000000000000000000000100000000000000000000000000000000000000000000000000110000000000000000000000000100000000000000000000000000000000110000000000000000000000000000000000001000000000000000000000100000000000000000000000000000001000000000000000000000000000110000000000000000000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000110000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000110000000000000000000000000000000000000000000000000000000000110000000000000001000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000110000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000001010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000100001111000000000000000000001000000000001111000000000000000100000011000000000000000000110000000000000001000000000000000000000000000000000110000000001100000000000000000000000000000100001111100100000100000000110000000000001111000000000000000100000011000000000000000000001100000000000001000000000000000000000000000000000000110000001100000000000000000000000000000100001111000000000110000000000000000000001111000000000000000100000011000000101110000000111100000000000001000000000000000000000000000000000000110000110000000000000000000000000000000100001111100000000110000000001000000000001111000000000000000100000011000000000100000000001100000000000001000000000000000000000000000000000110110000000000000000000000000000000000000100001111000000000110000000000000000000001111000000000000000100000011100100000100110100010000000000000001000000000000000000000000000000000110110000000000000000000000000000000000000100001111100100000110000000001100000000001111000000000000000100000011111100011010000000001100000000000001000000000000000000000000000000000110110000000000000000000000000000000000000100001111000000000000000001001100000000001111000000000000000100000011000000011111001000111100000010000001000000000000000000000000000000000110110000000000000000000000000000000000000100001111100000000110000000001000000000001111000000000000000100000011100100000101001000001100000000000001000000000000000000000000000000000110000000001100000000000000000000000000000100001111000000000110000000001100000000001111000000000000000100000011000000100110000001111100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111101001000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000111000000000000000000000000000000001011000000000000000010001000000000000000000000000000000000000100000000000000000000000000000001000000000000100000000000000000000000000000000000000111010000000000000000000000000000001011000000000000000010001000000000000000000000100000000000000100000000000000000000000000000000000001000000100000000000000000000000000000000000000111000000100000000000000000000000001011000000000000000010001000000000100000000001000000000000000100000000000000000000000000000000000001000000000000000000000000000000000000000000000111000000100000000000000000000000001011000000000000000010001000000000000000000010000000000000000100000000000000000000000000000000010001000000000000000000000000000000000000000000000111000000010000000000000000000000001011000000000000000010001000000000000000000000000000000000000100000000000000000000000000000000010001000000000000000000000000000000000000000000000111010000100000000000100000000000001011000000000000000010001000100000010000000000100000000000000100000000000000000000000000000000010001000000000000000000000000000000000000000000000111000000000000000001000000000000001011000000000000000010001000000000010000000001000000000001000100000000000000000000000000000001000001000000000000000000000000000000000000000000000111000000100000000000000000000000001011000000000000000010001000000000000000000001000000000000000100000000000000000000000000000001000000000010000000000000000000000000000000000000000111000000010000000001000000000000001011000000000000000010001000000000100000000000100000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100110011111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000001000000000000000000001000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000001000000000000000010000000000000001000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000010000000000000000000010000000000000000000000001000000000000000000001000000000000000000001010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000010000000000001000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000000000000000000000001000000000000000000001000010000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000001000000000000000000000000000000001000000000000000000001000110010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000001000000000000000000001000000000100100001001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000001000000000000000000000000000000001000000000000000000001000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000001000000000000000000001000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010011111111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000100000000001000000000000000000000000000000000000001000000000100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000010000000000001000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000001000000000100000000010000000000000000000000000000000000000000000000001000000000001000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000001000000000100000000001000000000000000000000000000000000000000000000000010000001000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000100001000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000001000000000100000000010000000000000000000000000000000000000000001000001000001001000000000000000000000000000000000000000000000000000100000000001000000000000000000000000000000000000001000000100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010101110101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000010010000000000000000010010000000100100000000000000000011011000000000000000000000000000001111000000000000000000000000000000000000000001000000011111001111011000000000000000000000000000000000000011000000001100100000100100000000000000000011011111111100000000000000001100001111000000000000000000000000000000000000000011010000000000001111011000000000000000000000000001100100000000000000000000000000111100000000000000000011111000000000000000000000001100001011000000000000000000000000000001101000000111110000000000001111011000000000000000000000000000000000000101000000001100000000100100000000000000000001011000000000000000000000000000001110000000000000000000000000000001100100000000000000000000001101011000000000000000000000000001100100000000000000001100000000111110000000000000000011011000000000000011000000000000001010000000000000000000000000000000000000000000000000001100000110111100000000000000000000000000000000000001000000011111000000111100000000000000000011111011110100000001000000010010001110111110000000000000000000000010010000000000100000011110000111011000000000000000000000000000000000000011010000010010000000100100000000000000000011011000000000000000000000000000001111000000000000000000000000000010010000000101100000000000001111011000000000000000000000000000000000000000000000010010000000100100000000000000000011011000000000000000000000000000001110000000000000000000000000000011110100000000000000000000001111111100000000000000000000000011110100000000100000011111000000111100000000000000000011011000000000000111110000000000000111000000000000000000000000000000000000000000000000000000001111011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000011011000000000000000000111100000001111000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111010100001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000100000000000000000000000000001010000000000000000000000000000000000000000000000000000000100100000010000000000000000000000000000000000001000000000001000000000000000000000000000010000000100000000000000000000011100000000000000000000000000000000000000000000000010000000000010000000010000000000000000000000000001000000000000000000000000000101000000000000000000000000000000000000000000000000011010000000000000000000000000000000000010000000010010000000000100010000010000000000000000000000000000000000000000000000011000000000000000000000000000010000000000000000000000000000000000010000000000000000000000000000000001000000000000000000000010000000010000000000000000000000000001000000000000000000001000000000010000000000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000000011000000000110000000000000000000000000000000000000000000001000000000100010000000000000000000000000101000000000000000000100000001010010000000000000000000000000100000000000000000001011010001000010000000000000000000000000000000000000010000000000000000000000000000000000000010010000000000000000000000000000001100000000000000000000000000000001000000000010000000000000100010000010000000000000000000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000000010000000000000000000000000000000001000000000000000000000001000000010000000000000000000000000101000000000000000000000000000001000000000000000000001000100000000000000010000000000100100000000000000000000000000000000000000000000000000000000100100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000100000000000000001000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101011001000011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000001000000000000110000000000000000001010000000000000000000000000000000101000000000000000000000000000000000000000100000000011000001000000000000000000000000000000000000000000100000000000000000000000110000000000000000001100000100000000000000000000000000011000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000110000000000000000001010000000000000000000000000000001001000000000000000000000000000000000000000000100000000000000100000000000000000000000000000000000000000110000000000000000000000110000000000000000000000100000000000000000000000000000011000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000110000000000000000001010000000000000000000000000000001001000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000000000100000000000100000000000110000000000000000001100000100000000100000000000100000100000100000000000000000000000000100000000000000000000100010000000000000000000000000000000000000000000000000000000100000000000110000000000000000000010100000000000000000000000000001001000000000000000000000000000001000000000100100000000000000100000000000000000000000000000000000000000000000000000100000000000110000000000000000001100100000000000000000000000000001001000000000000000000000000000000110000000000000000000000000100010010000000000000000000000000100000000000000000001001100000000110000000000000000001010000000000000000100000000000000001000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000100000000000010000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110011000110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100100000010000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000001000000000000000000000000000000001000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000000000000000001000000000000000000000000000000000010000000000000000000000000000000001000000000000000000000000000000000000000000100000000000000000000010000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000010000000000000000000000000000000000000100000000000000000000010000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000101000000000000000000100100000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100101111111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011000000000000000000000000000000000000000000000000000000011011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000111000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000001110000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000010111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111000000000000000000000000000000000000000000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000001111000000111100000001111111100000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000111001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000010000000000100000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100111000011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000000001000000000100000110000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000100100000001010000010000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110101110011110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000101110010001100000000000000001100100100000000000000000000001111000000000111000000000000011111000000011000000001111000000010101101101111000000000000000000011000000000000000000000000101100000000100000000000000001100000000000000000000000000000101101101101011000000000000011011000000111100000001111000000111000000000111000000000000000000000000000000000000000000000101101101000100000000001101001100111100000000000000000000000111101100100111000000001101001110000000111100000001111000000101111110101110000000000000000000100100000000000000000000000001100000001100000000000000001100000000000000000000000000000111100000000101000000000000011111011000110000000001111000000011100000000111000000000000000000000000000000000000000000000101111111000100000000000000001100000000000000000000000000001111000000001111000000000000011111000000001101101000111000000011111110100111000000010010000000011000000010110000000000000101111110100100000000010010001100000000000010110000000000000111100110001110000000000000011111000000001100000000110000000011110010001111000000000000000000000000000010010000000000000101110010000100000000000000001100000000000000010000000000000110101100000111000000000000001110000000001100000001111000000011110010000110000000000000000000000000000000000000000000000101100000000100000000000000001100000000000000000000000000000110100000000111000000001100010111011000001110010001111000000011110010000110000000000000000000100100000000000000000000000101111110100100000000000000000100100100000000000000000000001111100010000111000000000000011011000000111100000001111000000110100000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000011000000000000001111000000111111110000000011000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111011001100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000010000010000000000000000010000000001000000000010000000000100000000010000000000000000000000001000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000100000000000000000010000000001000000000010000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000000000000000000000100000001010100000000000010000010000001000000000100010000000000000101000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000100000000000000000010000100010100000000100000000001010000000010000000000000000000000000000000000000000000000000000000110000100000000000000000000000000000000000000000000000000010000100000000000000000010000000000000000000010000000001010000101010000000000000100000000100010000000100000000000000000000101000100000000001000000000000000000001000000000000000100100000000100000000000000010100000000000000000000100000001010000000010000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000110000001010000000000000000000000000000011000000010000000000011011000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000010000100001000000000011010010100010011000100100000000001010000100000000000000000000000000000000000000000000000000000001000101000000000000000000000000000000000000000000000000000010010000100100000000000000010000000001000000000100010000000100100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000000000001000000001000000001000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001011001100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000001000100001000000000000000010010010000000000000000000000000001000000000001000000000000001000000001000000000001001000000000000000010010000000000000000000100000000000000000000000000000000000000000000000000000010100000000000000000000000000000110100000001000000000000000001000000000110000000001001000001000000000000000000000000000000000000000000000000000000000000110010000000000000000010000011000000100000000000000000000001011000000000001000000000000000011000001100000000000010000000001000100010100000000000000000000010000000000000000000000000000000000001000000000000000010100000000000000000000000000000100000000000000000000000000001000000000001000000001000000000000100000000001000000000000000000000000000000000000000000000001000100000000000000000000011000000000000000000000000000000000000000000100000000000000001000000001000000000000001000000000000100000000000000000100000000000000000000100100000000000001000100000000000000000100010100000000000010100000000000000000010000001000000000000000001000000000010000000000000000001000001000001001000000000000000000000000000000100000000000000001000100000000000000000000011000000000000000010000000000000000000000000001000000000000001001000000000000000001001000000000001000000001000000000000000000000000000000000000000000000100000000000000000000000000010100000000000000000000000000000100000000000000000000000000000000000000000000100001000000000000100100000001000000000000000000010010000000000000000000000001000100000000000000000000000000000100000000000000000000000000000000000000000000000000000100000001100000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000010000000100000101100000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111010010110111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000001000000000000001000000000000000000000100000000000000000000000000000000100000000000000000100000000000000000000000001000001000000000000100000000000000000000000000000000000000000000000001010000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010000000000001000000000010000001100000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000000100100000000000000000000000000000001000000000000000000000001010000000000001000000000000000000000000000000000000000000001000000000100000000000000000100000000000000000000000101000000000000000100000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000010000010001000000000000100000000000001001000100000000000010000000001100000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000010010010000010000000000000000000000000010000000000010000000000000100000001000000000000000000000000000000100000000000001010000100000000000000000000000000000000000000000000000000000000010001100000000000000000100000000000000000000000001000000000000000001000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000101000000000000000001000000000000000000000000000000000000000000000001000000000100000000000000000001000001000000000000000000000000000010100010000000000000000000000000000001000000010000000000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000010000000000000001010000000100100000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000100001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110100011111111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000001000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000001000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000001000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000001000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000001000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000001000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000001000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000001000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000001000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010100100111111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000110000110000000000000000000000000000000000000000000001001000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000110000110000000000000000000000000000000000000000000001001000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000110000110000000000000000000000000000000000000000000001001000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000110000110000000000000000000000000000000000000000000001001000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000110000110000000000000000000000000000000000000000000001001000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000110000110000000000000000000000000000000000000000000001001000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000110000110000000000000000000000000000000000000000000001001000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000110000110000000000000000000000000000000000000000000001001000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000110000110000000000000000000000000000000000000000000001001000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010110000000110000000011110000111100000111111000010100000011111100001011110000000000001100000000000010000000000000010010000000000000000011110001101100000111111000001000000000000000000000000000000000000000000000000000000000000000000000001001111100000000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000000000000000000000000000000000000000000000000000000100110000011001000000000001100000000000000000000110000000000000110000000000000000000000000000000001000000000000000000000000000000000000000000110000000110000000000000000000000000000100000001111001000000000000000000000000000000000000000000000100000000011000000000000000000110000000000000000000000000000000000000011001000000000000000000000000000000000000000000000000000000011000000000110000000000000000000000000000111100000100000110011000000000000101100000000000000001100000001100000100110000011001000000000110100000000000000000000000001100000000110000011000000000000110100000111100000000000000000000000000000000000000000000000001100000001100000111100000000000000100000110000000000000000111100000111100000000000000000000000100000000011001000000000000000000000000000111100000111100000000110000000000000000000110000000000000000000000000000000000000000000011001000000000000000000110000000000000000110000000100110110011000000000110110000000001100000000000000110000000100000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000100000000000000100110000011001000000000000100000001100000000000000000000000100110000011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000011000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100111011011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000001000000000000000000001000000000000110000000000000001100000001000000000000000000000000000000000011000000000000000000000001000000000000000000001000000000001001000000000100000000000000000000000000000000000000000000000100100000000100001101000000000000000000000000000000000000000111000000000100000000000000000000000000000000000000000000000000000000000000001101000001000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000001000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010101011011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010000000000000000000000000000000000000000000000000000000110010000000000000000000000000000000000000000000100000000000000100000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000000100000010000000000000000001000000000000000000000000000000000100100000000000000000000001000000000000000000000000000000000000100000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000010000000000000000100000010000000000000000001000000000100000000000000000000000100000000000000000000000000000000000000000010000000010000000010010000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000000110011001000000000001001010000000100000000000000000010000000100000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000100000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001101000000001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000000000000000000010000000000000010000000000000000000000000000000000001000000000000000000000000000000000000000010000000010000000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000011000000000000010000010000000000000000000000000000000000000001000000010000000000001000000000000000000000000000000000000001000000010000000000010000000000000000000011000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000010000000000000000000000000011000000000000000000000000000000000000001000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000010000000000000000000010000000100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000010000000000001000000000000000000000000000000000000000000000010000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110010100111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000011000000000000000000000000000000000000000000000000100000000000000000000000000000110000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000011000000000000100000000000000000000000000000000001100000001100000000000000100000000000000000000110000100000001100000000000110000000000000110000000000000000000000000000000000000001100000000000000000000000011001000000000000010001000000110000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000001100000000000110001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000110000000000000000000000000000100000000000000000000000000000000000011001000000000001100000100000000011000000000000000000000110000000001100000111100000000110000011000000000000111100000110000000001100000001100000000000000011000000000000000000000001100000000100000000000000100000000000000000000000000000000000000000111100000000000000100000000000000000000000000000000001100110000000000001100000000000000011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000100000000000000000000000000000000000000110000000000110000000100000000000000001100000000000000000000000001100000001100000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100011000000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000100001100000000000000000001000000000000111000000000000001100000001000000000000000000001000000000000000000000000100001000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000001100000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001111101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000001010000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000100000000000000010000000000100000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000000000100000000000000000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000100000000000000000000000000000000000001010000000000000100000100000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100111101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000000000000000000000000000000000000000000001000000100000000000000000000000000000000000000100000000000001000000000010000000000000010000000000000000000000000000000000000000100000000000000000000000000000001000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000001000000000000000000010000000000000000000010000000100000000010000000010000000000010000000000000000000010000000000000000001000000000000000000010000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110011011000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001011001000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111011000111110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101110010011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010011011111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000100001101000000011000000001101000000011000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001101000000000000000000000000000000000000000000000000000000000000000000001000000001101000000000000000000000000000000000000000000000000000000000000000000000100001100100000000000000000000000000000000000000000000000000000000000000000000000000001100100000011000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000001101000000000000000000000000000000000001101000000011000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000001000000000000000000000000000000000000000000000000011000000001100100000001000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000011010000001100100000011000000000000000000001101001101100000000000000001000000000011000000001100100000000000000000000000000000000001100100000000000000000000000000001101000000000000011000000001101000000000000000000000000000001100001101000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000011000000000000000000000000001101000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101100110111111111111111111111111111111111111111111111111111 -00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000001000000000000000000100010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000001000000000000000000000000000000000000000000000001000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000000100010000000000000000000000100000000000000000000000001000000001000000000001000000000000000000000000000000000000001000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000001100000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001011100010011111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000001000000000000100000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000100000000000000000000000000000000000000100000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110101110110000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000001000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001011101111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000011000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000100100000000000000000011000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000100010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000100010000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001101110101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000100011011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111000000000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110101110100011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101101100011011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000111100010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000001101001100100000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000001101000000000000001001000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000011000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000001101001100100000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000100000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000001000000000000000011000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000001101001101000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000011000000001100100000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111011000111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000100001000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000001000000000000100010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100010000000001000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001001000110011111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110000001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100100101110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110100010001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010110111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110010110001111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110110001001101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000111100000000000000111100000000000000000000000000000000000000000000000000000000110000000000000000000000000000000110000000000000000000000000110000000000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010111111000101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111100011111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000001000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101011010111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000011000000000000000011000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110100111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000111100000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110100110110100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000111000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000101101111111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110010110001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000101101011111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110101111100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011110101111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101010010010101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100001110100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000011000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000001100100000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000001100100000000000001100100000000000000000000000000001000000001101000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001111101111111111111111111111111111111111111111111111111111 -00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000001000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100111111111111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111001000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110110110111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000010010000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001111101001010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000100000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111101010000010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101110101110101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111001011100101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110011010111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011001001000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110011100111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000001101000000000000000000000000000001100000000000000000000000001100100000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000001100100000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000001100000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000001101100000010000000001101000000000000000000000000000001100000000000000000000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111100110111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000001100000000000000000000000001000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000001100000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001010010111100011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010110011000101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110110011111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110001001101100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000101001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101101001010111111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110110001001101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110110000110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010111110101001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011101111111111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101110001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011101111011111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 @@ -1223,10 +1223,10 @@ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110110001001101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011110111001111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 @@ -1247,10 +1247,10 @@ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110110001001101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000110000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111011110101011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111110111001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000111010010111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110100011011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000110000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000110001100000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000010010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101010000010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001010000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100010001000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 @@ -1271,10 +1271,10 @@ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110110001001101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000110000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111011101110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110010000111010111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000010010000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111100011100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011110110011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001100011100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000100010111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 @@ -1295,10 +1295,10 @@ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110110001001101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001011011110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010110000100011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101011111101010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111010010110111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001000111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100011101111111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 @@ -1307,22 +1307,22 @@ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101101110111111111111111111111111111111111111111111111111 -00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001110011100111001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110101010001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100110111111110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010001100100111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000110011100000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101110000001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100111101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010110001011010111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110110111111111111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110110001001101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111000011011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110100010111000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111110101001111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111000011011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101001100011101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111011011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100000011011111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 @@ -1331,38 +1331,38 @@ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110011110010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011111001100111111111111111111111111111111111111111111111111 00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000110011100000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001000100111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001000011101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010110001011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101001010000010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001101001110011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000001000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110110001001101111111111111111111111111111111111111111111111111 -00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000001000000001100000000000000001100000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000001100000001100000001100000000000000000000000000000000000000000000000000000000001100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000001100000001100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001011010101001101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000100000000000000000100000000000000000001000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000010000000010000000010000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000110000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000110000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010111011010111111111111111111111111111111111111111111111111 -00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001010001000001011111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000100000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110010110111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000100000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110101001111111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110000000001100000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000001100000000110110011001000000000000100000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000001100000001100000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000001100000001100000001100000000000000000000000000000000000000001100000000000000000000000001100000000000000000000000000000001100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000001100000001100000001100000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000111111001000010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000010000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100001100000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000110000000000100000010000000000000000000000000000000000000000000100000000000000000000000010000000000000000000000000000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000001000000001100000001100000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011110101001111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000010010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100001101101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000100000000000000010000001000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000000000000000000000000000000000000100000000000000000000000000100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011001011110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000001000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100111010110111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001111011111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110101101011100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010010110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000001100000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000011000000000000000000000000000000000000000100000001100000000001000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000001010101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000011000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010011110111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000100000000000000000000000000000000000000000100000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000001111100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000001000000111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000010010001111100100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000101101111111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111010000101111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000010000110000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110100110111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101101110010111111111111111111111111111111111111111111111111 -00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000000000000000000101000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110100000000000000000010100000001111000000000000000000000000000000000000000000000000000000000000000000001100000000011000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000110100000010111000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000111110010000000000000111100000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001011111001100111111111111111111111111111111111111111111111111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000010010000001011000000000000000000000000000000000000000000000000000000000000000000000011000000001000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001000000000000000000000000000000000000000000000000000000000000000010000000000000000100010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000011110101111111111111111111111111111111111111111111111111 -00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000001000101000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000010100000101111111111111111111111111111111111111111111111111 -00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000100100000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000010101000111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000010100110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000011000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000011000000001100100000000000000000000000000100000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111011000101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100010000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011101000010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101011101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100110101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010011010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000010010001111100100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000101000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000010000110000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001100100100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101010010000001111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100000000000000000111100000001110000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000010100000000000000001101100000001111000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110100000000000000001101100000011110000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000111110010000000000000111100000001111000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000011011001000110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000010000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000100000000100000000000000000000000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001111001111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000100000000010010000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000001000101000000000000001000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000011000010010111111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000100100000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010111100110110111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001010101010111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010010100110111111111111111111111111111111111111111111111111 @@ -2140,7 +2140,7 @@ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110011010001110011 -0000101000000000000000000000000000000000000000000101111101110001 +0000101000000000000000000000000000000000000000001110110111010000 1111111111111111111111111111111111111111111111111111111111111111 00001000000000000000000000000000 1111111111111111111111111111111111111111111111111111111111111111 diff --git a/src/game_loop.v b/src/game_loop.v index d59593a..3121a2e 100644 --- a/src/game_loop.v +++ b/src/game_loop.v @@ -11,6 +11,10 @@ localparam V_RES = 480; // vertical screen resolution localparam FRAME_NUM = 1; // slow-mo: animate every N frames reg [$clog2(FRAME_NUM):0] cnt_frame; // frame counter +reg [7:0] score_counter; // score counter, + +reg [3:0] tens_digit; +reg [3:0] units_digit; always @(posedge clk) begin if (frame) cnt_frame <= (cnt_frame == FRAME_NUM-1) ? 0 : cnt_frame + 1; @@ -47,6 +51,9 @@ initial begin qy = V_RES - V_SIZE_PADDLE - 50; // 50 pixels above bottom edge qxb = (H_RES - H_SIZE_BALL) / 2; // center ball horizontally qyb = V_RES - V_SIZE_BALL - 65; // 65 pixels above bottom edge + score_counter = 0; + tens_digit = 4'b0000; + units_digit = 4'b0000; // Initialize bricks for (i = 0; i < NUM_BRICKS; i = i + 1) begin @@ -122,6 +129,7 @@ always @(posedge clk) begin if ((qxb + H_SIZE_BALL > bx_min) && (qxb < bx_max) && (qyb + V_SIZE_BALL > by_min) && (qyb < by_max)) begin + score_counter = score_counter + 1; // increase score on collision bricks_active[i] = 0; qdy <= ~qdy; end @@ -158,6 +166,254 @@ always @(posedge clk) begin end end +// Score display in top left corner +reg [7:0] score [7:0]; // 8x8 bitmaps for digits '0' to '9' +always @ (posedge clk) begin + case (score_counter % 10 - 1) + 4'b0000: begin + score[0] = 8'b00111100; + score[1] = 8'b01100110; + score[2] = 8'b01100110; + score[3] = 8'b01100110; + score[4] = 8'b01100110; + score[5] = 8'b01100110; + score[6] = 8'b00111100; + score[7] = 8'b00000000; + end + 4'b0001: begin + score[0] = 8'b00011000; + score[1] = 8'b00111000; + score[2] = 8'b00011000; + score[3] = 8'b00011000; + score[4] = 8'b00011000; + score[5] = 8'b00011000; + score[6] = 8'b01111110; + score[7] = 8'b00000000; + end + 4'b0010: begin + score[0] = 8'b00111100; + score[1] = 8'b01100110; + score[2] = 8'b00000110; + score[3] = 8'b00001100; + score[4] = 8'b00110000; + score[5] = 8'b01100000; + score[6] = 8'b01111110; + score[7] = 8'b00000000; + end + 4'b0011: begin + score[0] = 8'b00111100; + score[1] = 8'b01100110; + score[2] = 8'b00000110; + score[3] = 8'b00011100; + score[4] = 8'b00000110; + score[5] = 8'b01100110; + score[6] = 8'b00111100; + score[7] = 8'b00000000; + end + 4'b0100: begin + score[0] = 8'b00001100; + score[1] = 8'b00011100; + score[2] = 8'b00111100; + score[3] = 8'b01101100; + score[4] = 8'b01111110; + score[5] = 8'b00001100; + score[6] = 8'b00011110; + score[7] = 8'b00000000; + end + 4'b0101: begin + score[0] = 8'b01111110; + score[1] = 8'b01100000; + score[2] = 8'b01111100; + score[3] = 8'b00000110; + score[4] = 8'b00000110; + score[5] = 8'b01100110; + score[6] = 8'b00111100; + score[7] = 8'b00000000; + end + 4'b0110: begin + score[0] = 8'b00111100; + score[1] = 8'b01100110; + score[2] = 8'b01100000; + score[3] = 8'b01111100; + score[4] = 8'b01100110; + score[5] = 8'b01100110; + score[6] = 8'b00111100; + score[7] = 8'b00000000; + end + 4'b0111: begin + score[0] = 8'b01111110; + score[1] = 8'b01100110; + score[2] = 8'b00000110; + score[3] = 8'b00001100; + score[4] = 8'b00011000; + score[5] = 8'b00011000; + score[6] = 8'b00011000; + score[7] = 8'b00000000; + end + 4'b1000: begin + score[0] = 8'b00111100; + score[1] = 8'b01100110; + score[2] = 8'b01100110; + score[3] = 8'b00111100; + score[4] = 8'b01100110; + score[5] = 8'b01100110; + score[6] = 8'b00111100; + score[7] = 8'b00000000; + end + 4'b1001: begin + score[0] = 8'b00111100; + score[1] = 8'b01100110; + score[2] = 8'b01100110; + score[3] = 8'b00111110; + score[4] = 8'b00000110; + score[5] = 8'b01100110; + score[6] = 8'b00111100; + score[7] = 8'b00000000; + end + default: begin + score[0] = 8'b00000000; + score[1] = 8'b00000000; + score[2] = 8'b00000000; + score[3] = 8'b00000000; + score[4] = 8'b00000000; + score[5] = 8'b00000000; + score[6] = 8'b00000000; + score[7] = 8'b00000000; + end + endcase +end + +reg [7:0] score_1 [7:0]; // 8x8 bitmaps for digits '0' to '9' +always @ (posedge clk) begin + case (score_counter / 10) + 4'b0000: begin + score_1[0] = 8'b00111100; + score_1[1] = 8'b01100110; + score_1[2] = 8'b01100110; + score_1[3] = 8'b01100110; + score_1[4] = 8'b01100110; + score_1[5] = 8'b01100110; + score_1[6] = 8'b00111100; + score_1[7] = 8'b00000000; + end + 4'b0001: begin + score_1[0] = 8'b00011000; + score_1[1] = 8'b00111000; + score_1[2] = 8'b00011000; + score_1[3] = 8'b00011000; + score_1[4] = 8'b00011000; + score_1[5] = 8'b00011000; + score_1[6] = 8'b01111110; + score_1[7] = 8'b00000000; + end + 4'b0010: begin + score_1[0] = 8'b00111100; + score_1[1] = 8'b01100110; + score_1[2] = 8'b00000110; + score_1[3] = 8'b00001100; + score_1[4] = 8'b00110000; + score_1[5] = 8'b01100000; + score_1[6] = 8'b01111110; + score_1[7] = 8'b00000000; + end + 4'b0011: begin + score_1[0] = 8'b00111100; + score_1[1] = 8'b01100110; + score_1[2] = 8'b00000110; + score_1[3] = 8'b00011100; + score_1[4] = 8'b00000110; + score_1[5] = 8'b01100110; + score_1[6] = 8'b00111100; + score_1[7] = 8'b00000000; + end + 4'b0100: begin + score_1[0] = 8'b00001100; + score_1[1] = 8'b00011100; + score_1[2] = 8'b00111100; + score_1[3] = 8'b01101100; + score_1[4] = 8'b01111110; + score_1[5] = 8'b00001100; + score_1[6] = 8'b00011110; + score_1[7] = 8'b00000000; + end + 4'b0101: begin + score_1[0] = 8'b01111110; + score_1[1] = 8'b01100000; + score_1[2] = 8'b01111100; + score_1[3] = 8'b00000110; + score_1[4] = 8'b00000110; + score_1[5] = 8'b01100110; + score_1[6] = 8'b00111100; + score_1[7] = 8'b00000000; + end + 4'b0110: begin + score_1[0] = 8'b00111100; + score_1[1] = 8'b01100110; + score_1[2] = 8'b01100000; + score_1[3] = 8'b01111100; + score_1[4] = 8'b01100110; + score_1[5] = 8'b01100110; + score_1[6] = 8'b00111100; + score_1[7] = 8'b00000000; + end + 4'b0111: begin + score_1[0] = 8'b01111110; + score_1[1] = 8'b01100110; + score_1[2] = 8'b00000110; + score_1[3] = 8'b00001100; + score_1[4] = 8'b00011000; + score_1[5] = 8'b00011000; + score_1[6] = 8'b00011000; + score_1[7] = 8'b00000000; + end + 4'b1000: begin + score_1[0] = 8'b00111100; + score_1[1] = 8'b01100110; + score_1[2] = 8'b01100110; + score_1[3] = 8'b00111100; + score_1[4] = 8'b01100110; + score_1[5] = 8'b01100110; + score_1[6] = 8'b00111100; + score_1[7] = 8'b00000000; + end + 4'b1001: begin + score_1[0] = 8'b00111100; + score_1[1] = 8'b01100110; + score_1[2] = 8'b01100110; + score_1[3] = 8'b00111110; + score_1[4] = 8'b00000110; + score_1[5] = 8'b01100110; + score_1[6] = 8'b00111100; + score_1[7] = 8'b00000000; + end + default: begin + score_1[0] = 8'b00000000; + score_1[1] = 8'b00000000; + score_1[2] = 8'b00000000; + score_1[3] = 8'b00000000; + score_1[4] = 8'b00000000; + score_1[5] = 8'b00000000; + score_1[6] = 8'b00000000; + score_1[7] = 8'b00000000; + end + endcase +end + + +reg score_area_1; +always @(posedge clk) begin + integer scaled_sx = sx / 2; + integer scaled_sy = sy / 2; + score_area_1 = (sx < 16) && (sy < 16) && score_1[scaled_sy][7 - scaled_sx]; +end + +reg score_area_2; +always @(posedge clk) begin + integer scaled_sx = (sx - 16) / 2; + integer scaled_sy = sy / 2; + score_area_2 = (sx >= 16) && (sx < 32) && (sy < 16) && score[scaled_sy][7 - scaled_sx]; +end + always @(posedge clk) begin if (square) begin red <= 8'd0; @@ -171,6 +427,14 @@ always @(posedge clk) begin red <= 8'd0; green <= 8'd255; blue <= 8'd0; + end else if (score_area_1) begin + red <= 8'd255; + green <= 8'd255; + blue <= 8'd255; + end else if (score_area_2) begin + red <= 8'd255; + green <= 8'd255; + blue <= 8'd255; end else begin red <= 8'd0; green <= 8'd0;