-
Notifications
You must be signed in to change notification settings - Fork 0
/
cons.xdc
26 lines (26 loc) · 1.28 KB
/
cons.xdc
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
set_property IOSTANDARD LVCMOS33 [get_ports center]
set_property IOSTANDARD LVCMOS33 [get_ports clk]
set_property IOSTANDARD LVCMOS33 [get_ports rclk_out]
set_property IOSTANDARD LVCMOS33 [get_ports sclk_out]
set_property IOSTANDARD LVCMOS33 [get_ports sdio_out]
set_property IOSTANDARD LVCMOS33 [get_ports sw0]
set_property IOSTANDARD LVCMOS33 [get_ports sw1]
set_property IOSTANDARD LVCMOS33 [get_ports sw2]
set_property PACKAGE_PIN U18 [get_ports center]
set_property PACKAGE_PIN W16 [get_ports sw2]
set_property PACKAGE_PIN V16 [get_ports sw1]
set_property PACKAGE_PIN V17 [get_ports sw0]
set_property PACKAGE_PIN J1 [get_ports sdio_out]
set_property PACKAGE_PIN L2 [get_ports rclk_out]
set_property PACKAGE_PIN J2 [get_ports sclk_out]
set_property PACKAGE_PIN W5 [get_ports clk]
set_property PACKAGE_PIN C17 [get_ports PS2_CLK]
set_property IOSTANDARD LVCMOS33 [get_ports PS2_CLK]
set_property PULLUP true [get_ports PS2_CLK]
set_property PACKAGE_PIN B17 [get_ports PS2_DATA]
set_property IOSTANDARD LVCMOS33 [get_ports PS2_DATA]
set_property PULLUP true [get_ports PS2_DATA]
set_property PACKAGE_PIN T1 [get_ports robot_A]
set_property IOSTANDARD LVCMOS33 [get_ports robot_A]
set_property PACKAGE_PIN R2 [get_ports robot_B]
set_property IOSTANDARD LVCMOS33 [get_ports robot_B]